14A – Magazine Office https://magazineoffice.com Vida sana, belleza, familia y artículos de actualidad. Tue, 12 Mar 2024 04:14:08 +0000 es hourly 1 https://wordpress.org/?v=6.5.3 El nodo de proceso 14A de próxima generación de Intel ofrece un rendimiento por vatio un 15% mayor que el 18A, el 14A-E añade otro aumento del 5% https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/ https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/#respond Tue, 12 Mar 2024 04:14:04 +0000 https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/

Intel ha revelado detalles adicionales sobre sus nodos de proceso 14A y 14A-E de próxima generación que ofrecen mejoras sustanciales de rendimiento y eficiencia con respecto al 18A.

El nodo de proceso Intel 14A cuenta con una ventaja de eficiencia superior al 15 % respecto al 18 A y mejora la integración del chip en un 20 %

Intel agregó recientemente varios nodos de proceso nuevos a su hoja de ruta, que incluyen 14A y 10A. Este último fue mencionado brevemente por el equipo azul durante su evento IFS Direct 2024 y su producción estará prevista más allá de 2028. Mientras tanto, la compañía ha posicionado su nodo 14A para que esté listo para la producción en 2026, mientras que la variante avanzada 14A-E estará listo para la producción en 2027. Hasta ahora, solo se menciona que los nodos 14A serán los primeros en utilizar la tecnología High-NA EUV, pero parece que Intel ha derramado granos adicionales en este nodo de proceso de próxima generación.

Fuente de la imagen: Intel

Durante la conferencia SPIE 2024, Ann Kelleher (vicepresidenta ejecutiva y gerente general de Desarrollo de Tecnología de Fundición en Intel) agregó además que el nodo de proceso 14A ofrecerá una ventaja de rendimiento por vatio de más del 15% sobre el nodo 18A, al tiempo que permitirá un aumento del 20% en la Proceso de integración de chips. Mientras tanto, el nodo de proceso Intel 14A-E agregará otro 5% más que el nodo de proceso 14A en términos de rendimiento.

Intel aún no ha anunciado ningún producto basado en el nodo de proceso 14A y sus subvariantes, pero parece que la tecnología desempeñará un papel crucial en los objetivos de Intel de convertirse en la segunda fábrica más grande del mundo, superando a Samsung y acercándose a TSMC. Si bien Intel ve a TSMC como un competidor, también utiliza a TSMC para cumplir con su suministro de chips para la gran mayoría de las CPU de sus clientes. TSMC creará varios bloques de IP para sus familias de CPU de clientes de próxima generación y más. Por ejemplo:

  • Lago Flecha Intel: 20A (mosaico de CPU) / TSMC N3 (mosaico de GPU)
  • Lago Lunar Intel: ¿20A? (mosaico de CPU) / TSMC N3B (mosaico de GPU)

El 20A de Intel aún no se ha visto en los estantes y se espera que sus primeros productos se lancen a finales de este año en forma de Arrow Lake y Lunar Lake. Estos seguirán al lanzamiento de los productos 18A que cubren principalmente el plan de lanzamiento 2025-2026, por lo que podemos esperar 14A alrededor de 2026-2027, que aún faltan algunos años. Espere más información sobre estos procesos de próxima generación y sus respectivos productos en los próximos eventos.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago nuevo
Lago Pantera
Bosque de aguas claras
¿Rápidos de diamante?
Socio de fundición
lago lunar
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Fuente de noticias: SeDaily

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/feed/ 0
La Corte Suprema dictamina que Donald Trump puede permanecer en la boleta electoral de Colorado, rechazando el intento de destituirlo en virtud de la 14ª Enmienda https://magazineoffice.com/la-corte-suprema-dictamina-que-donald-trump-puede-permanecer-en-la-boleta-electoral-de-colorado-rechazando-el-intento-de-destituirlo-en-virtud-de-la-14a-enmienda/ https://magazineoffice.com/la-corte-suprema-dictamina-que-donald-trump-puede-permanecer-en-la-boleta-electoral-de-colorado-rechazando-el-intento-de-destituirlo-en-virtud-de-la-14a-enmienda/#respond Mon, 04 Mar 2024 16:21:13 +0000 https://magazineoffice.com/la-corte-suprema-dictamina-que-donald-trump-puede-permanecer-en-la-boleta-electoral-de-colorado-rechazando-el-intento-de-destituirlo-en-virtud-de-la-14a-enmienda/

Donald Trump no puede ser eliminado de las boletas estatales en las elecciones de 2024, a pesar de una cláusula en la Constitución que restringe el desempeño de cargos a quienes han participado en una insurrección, dictaminó hoy la Corte Suprema.

En una decisión de 9 a 0, los jueces dictaminaron que correspondía al Congreso, y no a los estados, tomar tal determinación.

Lea la opinión de la Corte Suprema sobre el acceso a las boletas de Trump.

La decisión se esperaba, después de que los jueces se mostraran escépticos ante un fallo de la Corte Suprema de Colorado que excluyó al expresidente de la boleta electoral. Otros estados, sin embargo, habían llegado a conclusiones alternativas que mantuvieron a Trump en sus boletas.

Algunos juristas habían sostenido que Trump podría ser eliminado de la boleta electoral luego de sus esfuerzos por anular los resultados de las elecciones presidenciales de 2020 y su conducta el 6 de enero de 2021, cuando instó a sus partidarios a marchar hacia el Capitolio. Allí, los alborotadores irrumpieron en las cámaras de la Cámara y el Senado mientras los legisladores finalizaban el recuento de votos electorales a favor de Joe Biden.

Según la Sección 3 de la Enmienda 14, ninguna persona podrá ocupar un cargo “habiendo prestado previamente juramento, como miembro del Congreso, o como funcionario de los Estados Unidos, o como miembro de cualquier legislatura estatal, o como funcionario ejecutivo o judicial”. de cualquier Estado, para apoyar la Constitución de los Estados Unidos, haya participado en una insurrección o rebelión contra el mismo, o haya brindado ayuda o consuelo a sus enemigos”.

En su opinión, los jueces escribieron que “es el Congreso el que desde hace mucho tiempo ha dado efecto a la Sección 3 con respecto a los funcionarios federales actuales o potenciales. Poco después de la ratificación de la Enmienda, el Congreso promulgó la Ley de Ejecución de 1870. Esa Ley autorizaba a los fiscales de distrito federales a entablar acciones civiles ante un tribunal federal para destituir a cualquier persona que ocupara un cargo no legislativo (federal o estatal) en violación de la Sección 3, y hacía que ocupar o intentar ocupar un cargo en violación de la Sección 3 es un delito federal”.

Trump ha sido restringido de las elecciones en Maine, donde el secretario de Estado lo declaró descalificado, y en Illinois, donde la semana pasada un juez lo declaró no elegible. Pero esas decisiones están en suspenso mientras la Corte Suprema interviene.

En una opinión concurrente, los tres jueces liberales de la corte, Sonia Sotomayor, Elena Kagan y Ketanji Brown Jackson, escribieron que si bien estaban de acuerdo en que la decisión de Colorado debería ser revocada, dado que crearía un “mosaico caótico estado por estado”, encontraron fallas en la forma en que la mayoría “opina sobre qué actores federales pueden hacer cumplir la Sección 3 y cómo deben hacerlo”.

“La mayoría anuncia que una descalificación por insurrección sólo puede ocurrir cuando el Congreso promulga un tipo particular de legislación de conformidad con la Sección 5 de la Decimocuarta Enmienda. Al hacerlo, la mayoría cierra la puerta a otros medios potenciales de aplicación federal de la ley. No podemos unirnos a una opinión que decide cuestiones trascendentales y difíciles innecesariamente y, por lo tanto, solo coincidimos en la sentencia”, escribieron.

La decisión es el primer fallo importante que afectará las elecciones de 2024. La semana pasada, el tribunal anunció que celebraría argumentos orales la semana del 22 de abril sobre si Trump es inmune a un proceso penal por sus esfuerzos por permanecer en el poder después de las últimas elecciones presidenciales. Al no escuchar la cuestión de la inmunidad hasta finales del próximo mes, la programación de la Corte Suprema ha retrasado el juicio de Trump por cargos de conspiración el 6 de enero hasta finales del verano u otoño, y posiblemente hasta después de las elecciones de noviembre.

Tras la opinión de la Corte Suprema, Trump publicó en su plataforma de redes sociales, Truth Social, “¡GRAN GANANCIA PARA ESTADOS UNIDOS!”



Source link-18

]]>
https://magazineoffice.com/la-corte-suprema-dictamina-que-donald-trump-puede-permanecer-en-la-boleta-electoral-de-colorado-rechazando-el-intento-de-destituirlo-en-virtud-de-la-14a-enmienda/feed/ 0
Intel anuncia un nuevo nodo 14A en IFS Direct Connect 2024, y su agresiva hoja de ruta de ‘cinco nodos en cuatro años’ sigue en marcha https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/ https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/#respond Thu, 22 Feb 2024 05:23:22 +0000 https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/

Intel celebró hoy su evento inaugural Intel Foundry Services Direct Connect. Básicamente, marca el lanzamiento de la nueva estrategia de fabricación de Intel, que reúne todas las soluciones de fabricación, diseño de sistemas, empaquetado y conectividad de sus clientes bajo un mismo paraguas.

Durante el evento, Intel describió su nueva hoja de ruta de procesos que incluyó el anuncio de su nodo 14A. Se estima que llegará al mercado en 2026 o 2027 y marca el primer nodo que utiliza la litografía High-NA. Se espera que incorpore entrega de energía trasera PowerVia y transistores RibbonFET GAA. Basta decir que esto es algo de última generación.



Source link-8

]]>
https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/feed/ 0
Intel agrega el nodo de proceso 14A a su hoja de ruta, las actualizaciones de los nodos 18A e Intel 3 se presentan en IFS Direct https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/ https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/#respond Thu, 22 Feb 2024 05:04:54 +0000 https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/

Intel ha presentado su nueva hoja de ruta para sus nodos de proceso de próxima generación en IFS Direct, que ahora incluye 14A y actualizaciones en nodos ya anunciados.

La hoja de ruta del proceso Intel 2027 presenta los nodos 14A, 14A-E, 18A-P, 3E y 3-PT para semiconductores de próxima generación

Presione soltar: Intel Corp. (INTC) lanzó hoy Intel Foundry como una empresa de fundición de sistemas más sostenible diseñada para la era de la IA y anunció una hoja de ruta de proceso ampliada diseñada para establecer el liderazgo en la última parte de esta década.

La compañía también destacó el impulso de los clientes y el apoyo de los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, quienes describieron su disposición para acelerar los diseños de chips de los clientes de Intel Foundry con herramientas, flujos de diseño y carteras de IP validadas para el paquete avanzado de Intel, e Intel. Tecnologías de proceso 18A.

Los anuncios se realizaron en el primer evento Foundry de Intel, Foundry Direct Connect, donde la compañía reunió a clientes, empresas del ecosistema y líderes de toda la industria. Entre los participantes y oradores se encontraban la secretaria de Comercio de EE. UU., Gina Raimondo, el director ejecutivo de Arm, Rene Haas, el director ejecutivo de Microsoft, Satya Nadella, el director ejecutivo de OpenAI, Sam Altman, y otros.

Los aspectos más destacados de estos anuncios se enumeran a continuación:

  • Intel Foundry se lanza como la primera fundición de sistemas del mundo para la era de la IA, ofreciendo liderazgo en tecnología, resiliencia y sostenibilidad.
  • Intel Foundry presenta una nueva hoja de ruta que incluye tecnología de proceso 14A, evoluciones de nodos especializados y nuevas capacidades de prueba y ensamblaje de sistemas avanzados (ASAT) de Foundry para ayudar a los clientes a hacer realidad sus ambiciones de IA.
  • Intel Foundry anuncia victoria en el diseño: el director ejecutivo de Microsoft, Satya Nadella, comparte que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.
  • Los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, anuncian herramientas validadas, flujos de diseño y carteras de propiedad intelectual (IP) listas para habilitar los diseños de los clientes.

[Editor’s Note] Con su última hoja de ruta de nodos de proceso, Intel está presentando nuevas incorporaciones a su hoja de ruta de procesos que ahora incluyen subvariantes de cada nodo con los sufijos «E», «P» y «T». Todos estos sufijos representan una cierta extensión del conjunto de funciones, el rendimiento o la tecnología de empaquetado. La «P» para modelos como 18A-P y 3-PT representa un mayor rendimiento con hasta un 10% de ganancias sobre su variante estándar, mientras que la «T» representa el uso de TSV o Through-Silicon Vias que formarán parte del 3D. Tecnología Foveros Direct. Las subvariantes «E» representan una extensión del nodo clásico que se dirigirá a clientes específicos.

Además, la compañía también reveló que ya ha grabado sus CPU Clearwater Forest Xeon E-Core de próxima generación, mientras que 18A está listo para el diseño completo del producto en el segundo trimestre de 2024. Chipzilla también confirmó algunos datos sobre Clearwater Forest que hemos estado escuchando. durante las últimas semanas. Utilizará varias tecnologías como:

  • PowerVía
  • CintaFET
  • Troquel básico Intel 3
  • EMIB
  • Apilamiento 3D directo de Foveros

La hoja de ruta del proceso se expande más allá del 5N4Y

La hoja de ruta de tecnología de procesos extendida de Intel agrega 14A al plan de nodos de vanguardia de la compañía, además de varias evoluciones de nodos especializados. Intel también afirmó que su ambiciosa hoja de ruta de proceso de cinco nodos en cuatro años (5N4Y) sigue en marcha y ofrecerá la primera solución de energía trasera de la industria. Los líderes de la empresa esperan que Intel recupere el liderazgo en procesos con Intel 18A en 2025.

Una foto muestra una herramienta DMX de recogida y colocación para el apilamiento de la tecnología de embalaje Foveros en una fábrica de Intel en Oregón en diciembre de 2023.

La nueva hoja de ruta incluye evoluciones para tecnologías de procesos 3, 18A y 14A. Incluye 3-T, que está optimizado con vías de silicio para diseños de envases avanzados en 3D y pronto estará listo para su fabricación.

También se destacan los nodos de proceso maduros, incluidos los nuevos nodos de 12 nanómetros que se esperan mediante el desarrollo conjunto con UMC anunciado el mes pasado. Estas evoluciones están diseñadas para permitir a los clientes desarrollar y entregar productos adaptados a sus necesidades específicas. Intel Foundry planifica un nuevo nodo cada dos años y evoluciones de nodo a lo largo del camino, brindando a los clientes un camino para evolucionar continuamente sus ofertas en la tecnología de procesos líder de Intel.

Intel también anunció la incorporación de Foundry FCBGA 2D+ a su conjunto integral de ofertas ASAT, que ya incluyen FCBGA 2D, EMIB, Foveros y Foveros Direct.

Microsoft Design en 18A encabeza el impulso de los clientes

Los clientes respaldan el enfoque de fundición de sistemas a largo plazo de Intel. Durante el discurso de apertura de Pat Gelsinger, el presidente y director ejecutivo de Microsoft, Satya Nadella, afirmó que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.

«Estamos en medio de un cambio de plataforma muy interesante que transformará fundamentalmente la productividad de cada organización individual y de toda la industria», dijo Nadella. “Para lograr esta visión, necesitamos un suministro confiable de los semiconductores más avanzados, de alto rendimiento y de alta calidad. Es por eso que estamos tan emocionados de trabajar con Intel Foundry y por eso hemos elegido un diseño de chip que planeamos producir en el proceso Intel 18A”.

Intel Foundry tiene logros en diseño en todas las generaciones de procesos de fundición, incluidas 18A, 16 y 3, junto con un importante volumen de clientes en capacidades ASAT de Foundry, incluido el empaquetado avanzado.

Un empleado de fabricación muestra un sistema de prueba en chip construido sobre un sustrato de vidrio en una fábrica de Intel en Chandler, Arizona.

En total, en obleas y embalajes avanzados, el valor esperado del acuerdo de por vida de Intel Foundry es superior a 15 mil millones de dólares.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago lunar
lago nuevo
¿Rápidos de diamante?
Socio de fundición
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/feed/ 0
Primeros premios Oscar de la temporada entregados en la 14ª edición de los Governors Awards: Mel Brooks, Angela Bassett, Carol Littleton, Michelle Satter https://magazineoffice.com/primeros-premios-oscar-de-la-temporada-entregados-en-la-14a-edicion-de-los-governors-awards-mel-brooks-angela-bassett-carol-littleton-michelle-satter/ https://magazineoffice.com/primeros-premios-oscar-de-la-temporada-entregados-en-la-14a-edicion-de-los-governors-awards-mel-brooks-angela-bassett-carol-littleton-michelle-satter/#respond Wed, 10 Jan 2024 14:19:41 +0000 https://magazineoffice.com/primeros-premios-oscar-de-la-temporada-entregados-en-la-14a-edicion-de-los-governors-awards-mel-brooks-angela-bassett-carol-littleton-michelle-satter/

Los Premios del Gobernador de la Academia de Artes y Ciencias Cinematográficas son siempre una de las noches anuales de Hollywood que espero con ansias, una que entrega premios Oscar honoríficos en una velada no televisada pero siempre cálida, divertida e inspiradora. He asistido a todos y cada uno de ellos, e incluso escribí los segundos Premios del Gobernador, pero debo decir que la 14.ª ceremonia anual del martes por la noche tuvo un toque extra personal y devastadoramente sincero, bastante imprevisto cuando la Junta de Gobernadores de la Academia anunció a sus homenajeados. Mel Brooks, de 97 años, la editora de cine Carol Littleton, Angela Bassett y Michelle Satter del Instituto Sundance, el 26 de junio.

La ceremonia, como suele ser, se fijó para mediados de noviembre, el 18, pero debido a la imprevisibilidad de las huelgas de escritores y actores se trasladó al 9 de enero. Lamentablemente, la vida intervino mientras tanto. El esposo de Littleton y ex presidente de AMPAS, el director de fotografía John Bailey, falleció el 10 de noviembre, apenas una semana antes de que tuviera lugar originalmente el evento. Y luego, el 27 de noviembre, el hijo menor de Satter, Michael Latt, fundador de Lead With Love, de 33 años, fue asesinado sin sentido en una tragedia impensable. Esas muertes sin duda hicieron que la 14ª edición de los Premios del Gobernador fuera un asunto aún más emotivo que nunca, pero también resultó ser uno de los mejores, si no el lo mejor que he presenciado.

Jennifer Fox regresó por quinta vez para producir y fue una velada excepcionalmente bien estructurada y conmovedora, una premiación que comenzó con algunas risas gracias a Brooks y terminó con lágrimas después de que Satter dedicara su Oscar a su hijo Michael. La presidenta de la Academia, Janet Yang, puso las cosas en marcha justo antes de la pausa para la cena saludando a cada uno de los homenajeados de la noche, ganándose cada uno las primeras cuatro ovaciones de pie de la noche.

John Mulaney en los 14º Premios del Gobernador

Después de la cena, hubo una pequeña sorpresa cuando John Mulaney fue presentado como el anfitrión, algo que no creo que hayan hecho nunca antes. De hecho, el comediante acaba de ganar un Emmy durante el fin de semana por escribir uno de sus especiales de stand up, y será mejor que Kimmel tenga cuidado porque este tipo demostró que sería un excelente Presentador del programa de los Oscar. Jo Koi, que acaba de ser anfitrión de los Globos de Oro y recibió críticas deprimentes, podría seguir algunos consejos de Mulaney, quien solo ese día se presentó al ensayo, pero acertó en cada línea y aterrizó a lo grande entre el público normalmente duro de la industria. Una de sus mejores líneas estaba dirigida a Bassett, pero no de manera mezquina. “Así de genial es la actriz Angela Bassett: obtuvo una nominación al Oscar por una película de Marvel. Eso es como recibir un premio Pulitzer por un comentario en Reddit”. También tuvo un momento hilarante, todo cierto, sobre una oferta que recibió para “audicionar” para un papel como el policía número 1 en una nueva película de Maggie Gyllenhaal, y se rió mucho al contar la historia de Al Pacino sobre su primera presentación en el Oscar como Mejor Actor nominado hace 50 años. Y en un guiño al personaje que interpreta Carey Mulligan Maestro dijo que la película en realidad se llamaba inicialmente Adiós Felicia.

Nathan Lane y Matthew Broderick, quienes protagonizaron la versión musical cinematográfica y de Broadway de su exitosa comedia de 1968, Los productores repasó encantadoramente fragmentos de casi todas las canciones de ese espectáculo en un número especial elaborado por Marc Shaiman, quien tocaba el piano con la orquesta. Brooks dio el discurso más breve de la noche, comenzando disculpándose y diciendo que se siente mal por lo que pasó con su anterior Oscar. “Nunca debí haberlo vendido, eran tiempos difíciles”, se lamentó entre risas y prometió conservar este.

Nathan Lane, Matthew Broderick y Mel Brooks en los 14º Premios del Gobernador

Me encontré con Littleton en el cóctel previo al espectáculo y le dije cuánto lamentaba que su esposo Bailey no pudiera ver este momento. “Esto es para los dos”, dijo sabiendo que él ciertamente lo sabía desde hacía varios meses. Ocupó un lugar destacado en el carrete de presentación del clip que fue presentado por Glenn Close, quien coprotagonizó una de las películas que editó Littleton. El gran escalofrío. Littleton habló sobre conocer y casarse con Bailey y luego lograr trabajar con él en no menos de una docena de películas que él filmó y ella editó. Ambos también sirvieron juntos en la Junta de Gobernadores de la Academia, una de las pocas parejas casadas que alguna vez lo hicieron. Entre las voces que se escucharon elogiándola estaba Steven Spielberg para quien ella editó ET: El Extraterrestre. Littleton señaló que era “una historia contundente sobre la tolerancia mutua”, algo que la hace más oportuna que nunca en este momento.

Carol Littleton y Glenn Close en la 14ª edición de los Premios del Gobernador

Angela Bassett, que la primavera pasada fue nominada a Mejor Actriz de Reparto por Pantera Negra: Wakanda para siempre pronunció un poderoso discurso de aceptación que celebró a todas las actrices negras que han ganado premios Oscar hasta la fecha, comenzando con Lo que el viento se llevó Hattie McDaniel en 1940, quien tuvo que venir desde el fondo de la sala para aceptar el suyo. Regina King, que es una de esas mujeres, actuó como presentadora de su vieja amiga, e incluso le recordó que aparecieron en la comedia de NBC. 227 Ese King era un habitual cuando era niño. Bassett finalizó su discurso citando a Lena Horne: «Es tan agradable recibir flores cuando todavía puedes oler la fragancia». Me reuní con ella después del espectáculo mientras sostenía su nuevo y brillante Oscar y le dije que parecía que el grabado tenía mucho que decir (en realidad dice “Premio honorífico a Angela Bassett, quien ha inspirado al público de todo el mundo con su poder y actuaciones intrépidas”). Ella aún no lo había leído. «Voy a necesitar mis gafas para esto», se rió. Pasó las manos por el Oscar y me dijo que a su difunta madre le hubiera encantado este momento. “Ella siempre me llevaba al cine. A veces nos sentábamos durante cuatro seguidos. A ella le encantaban las películas”.

Angela Bassett en los 14º Premios del Gobernador

Finalmente, el Premio Humanitario Jean Hersholt fue entregado al campeón del cine independiente Satter por dos jóvenes cineastas cuyo mentor fue ayudado por el mago del Instituto Sundance, Ryan Coogler y Chloe Zhao. Coogler contó que cuando estaba desarrollando su película revelación, Estación Fruitvale Allí Satter dio un poco de amor duro y honesto. “Ella destrozó la película”, pero con sus notas siempre acertó y demostró cuánto se preocupaba por los cineastas. Con respecto a la reciente pérdida del hijo de Satter, Zhao dijo que lamentaban mucho no poder aliviar el dolor. “Todos somos tus hijos. Te amamos”, dijo. No había ni un ojo seco en la casa cuando se acercó a recoger su Oscar y saludar a todos los cineastas novatos y a otras personas que se han beneficiado de sus 40 años en Sundance. Al recordar cómo empezó todo, audazmente le sugirió al fundador de Sundance, Robert Redford, que tal vez debería haber una oficina en Los Ángeles y ella podría administrarla. “’Está bien’, dijo. ‘Avísame cuando llegues’”. Añadió una lección que aprendió de eso: “cuando alguien dice ‘sí’, deja de hablar”. Satter señaló también que los directores de las tres últimas películas que ganaron el Oscar a la Mejor Película (Todo en todas partes, a la vez. Daniels, CODA Sian Heder, tierra nómada Zhao) todos vinieron a trabajar al laboratorio.

Carol Littleton, Ryan Coogler y Chloé Zhao en los 14º Premios del Gobernador

Los Premios del Gobernador, que se celebran durante la temporada de campaña de los Oscar, también son una parada obligada para los contendientes, nunca más que este año, ya que el evento pospuesto por la huelga llegó sólo dos días antes de que comience la votación. Quizás eso explique la larga lista de actores y cineastas que abarrotaron el salón Ray Dolby en Ovation en Hollywood, donde se celebrarán los Oscar en el Governors Ball después de los Premios de la Academia el 10 de marzo. Puede consultar la larga lista de nombres que asistirán en la galería de fotos de Deadline (estudios por mesas que cuestan 120.000 dólares cada una), incluidos Leonardo DiCaprio, Bradley Cooper, Natalie Portman, Julianne Moore, Juliette Binoche, Greta Gerwig, Christopher Nolan, Robert Downey Jr., Paul Giamatti, Martin Scorsese y muchos mucho mas.

Me detuve en la mesa de Nolan para felicitarlo a él y a su esposa productora Emma Thomas por sus cinco Globos de Oro el domingo por la noche. Mencionó algo divertido que vio en mi columna Notas sobre la temporada la semana pasada donde mencioné todas las veces durante los muchos meses de la temporada de los Oscar donde cada semana alguien proclama «la carrera por los Oscar ha comenzado». El hecho es que nunca parece fin, pero sin duda alguien escribirá sobre los Premios del Gobernador de esta noche y dirá que representa «¡el comienzo de la temporada!» Si solo…. Pero todo el mundo puede ver el lado positivo: solo faltan exactamente dos meses para la noche de los Oscar.

Lo que me encanta de este evento es que muchos de estos contendientes pueden haber aparecido pensando que es un buen lugar para ser vistos tan cerca de las boletas. Pero te garantizo que una vez que comenzó el espectáculo y se entregaron estos merecidos premios Oscar honoríficos, te irás con una visión diferente de las cosas. Durante una excelente noche, la Academia dejó que el trabajo tuviera prioridad, y Mel Brooks, Carol Littleton, Angela Bassett y Michelle Satter recordaron a todos en esa sala por qué deberían estar orgullosos de poder hacer lo que hacen.

Fue realmente una noche dorada.



Source link-18

]]>
https://magazineoffice.com/primeros-premios-oscar-de-la-temporada-entregados-en-la-14a-edicion-de-los-governors-awards-mel-brooks-angela-bassett-carol-littleton-michelle-satter/feed/ 0
Trump rechaza airadamente la afirmación de que la 14ª enmienda lo descalifica para volver a ser presidente https://magazineoffice.com/trump-rechaza-airadamente-la-afirmacion-de-que-la-14a-enmienda-lo-descalifica-para-volver-a-ser-presidente/ https://magazineoffice.com/trump-rechaza-airadamente-la-afirmacion-de-que-la-14a-enmienda-lo-descalifica-para-volver-a-ser-presidente/#respond Tue, 05 Sep 2023 06:31:21 +0000 https://magazineoffice.com/trump-rechaza-airadamente-la-afirmacion-de-que-la-14a-enmienda-lo-descalifica-para-volver-a-ser-presidente/

Donald Trump refutó airadamente las afirmaciones hechas en su plataforma Truth Social de que la 14ª Enmienda le impediría volver a postularse para presidente.

Escribió: “Casi todos los juristas han expresado opiniones de que la 14ª Enmienda no tiene base legal ni validez en relación con las próximas elecciones presidenciales de 2024.

“Al igual que la interferencia electoral, es simplemente otro ‘truco’ utilizado por los comunistas, marxistas y fascistas de izquierda radical para robarse nuevamente unas elecciones de las que su candidato, el PEOR, MÁS INCOMPETENTE Y MÁS CORRUPTO presidente de la historia de Estados Unidos, es incapaz. de ganar en unas elecciones libres y justas. ¡HAGAMOS A ESTADOS UNIDOS GRANDE OTRA VEZ!”

El domingo, el senador demócrata Tim Kaine de Virginia dijo que se puede presentar un caso legal para utilizar la 14ª enmienda para eliminar al expresidente de las elecciones de 2024, citando la participación de Trump en los acontecimientos que rodearon el ataque del 6 de enero al Capitolio de Estados Unidos. .

“En mi opinión, el ataque al Capitolio ese día fue diseñado con un propósito particular en un momento particular y era interrumpir la transferencia pacífica del poder como se establece en la constitución”, dijo en una entrevista con ABC News. “Así que creo que se puede presentar un argumento poderoso”.

Kaine dijo: «Pensé que en realidad podría haber sido una forma más productiva de hacerlo que el segundo juicio político hacer una declaración bajo esa sección de la 14ª enmienda».

Recientemente se han unido más voces al coro que afirma que las acciones de Trump tras las elecciones de 2020 exigen una descalificación.

Dos juristas, el juez federal conservador retirado J. Michael Luttig y el profesor emérito de derecho de Harvard Laurence Tribe escribieron en El Atlántico el mes pasado que la constitución prohíbe que Trump vuelva a ser presidente.

«La cláusula de inhabilitación opera independientemente de cualquier proceso penal y, de hecho, también independientemente de los procedimientos de impeachment y de la legislación del Congreso», escribieron.

«La cláusula fue diseñada para operar directa e inmediatamente sobre aquellos que traicionan sus juramentos a la Constitución, ya sea tomando las armas para derrocar a nuestro gobierno o librando una guerra contra nuestro gobierno al intentar anular una elección presidencial mediante un golpe incruento».



Source link-33

]]>
https://magazineoffice.com/trump-rechaza-airadamente-la-afirmacion-de-que-la-14a-enmienda-lo-descalifica-para-volver-a-ser-presidente/feed/ 0
caídas, batalla Vingegaard-Pogacar y victoria de Rodríguez, revive la 14ª etapa https://magazineoffice.com/caidas-batalla-vingegaard-pogacar-y-victoria-de-rodriguez-revive-la-14a-etapa/ https://magazineoffice.com/caidas-batalla-vingegaard-pogacar-y-victoria-de-rodriguez-revive-la-14a-etapa/#respond Wed, 19 Jul 2023 15:22:33 +0000 https://magazineoffice.com/caidas-batalla-vingegaard-pogacar-y-victoria-de-rodriguez-revive-la-14a-etapa/

Bienvenidos a esta retransmisión en directo dedicada a la 14ᵉ etapa del Tour de Francia 2023, 110ᵉ edición de la Grande Boucle.

Qué ? La 14ᵉ etapa de esta Grande Boucle, de 151,8 kilómetros entre Annemasse y Morzine Les Portes du Soleil. Una etapa prometida a los escaladores, con cinco ascensiones catalogadas y más de 4.000 metros de desnivel positivo. Se espera una explicación entre favoritos.

O ? El pelotón cruzará la Alta Saboya, no muy lejos de la frontera franco-suiza, para esta primera etapa de montaña en los Alpes.

Cuando ? La salida real se dará a las 13.20 horas y se espera que los corredores lleguen a la meta a las 17.33 horas si circulan a una velocidad media de 36 km/h.

¿En qué canales? Francia 2, Francia 3 y Eurosport 1.

¿Quién presenta el vivo? Denis Menetrier y Gabriel Richalot, desde las cumbres de Austerlitz, con Vincent Daheron y Aude Lasjaunias, por los caminos del Tour.

¿De qué no hablaremos?

De la receta de Julia Kolodziej de ñoquis en salsa de tomate

Leer mientras espera

El recorrido de la decimocuarta etapa, entre Annemasse y Morzine Les Portes du Soleil

Michal Kwiatkowski triunfa en el Grand Colombier, Tadej Pogacar se acerca segundo a segundo a Jonas Vingegaard

La gran escapada de Ion Izagirre, vencedor en Beaujolais

Correr el Tour de Francia y divertirse: ¿misión imposible?

Tadej Pogacar y Jonas Vingegaard, un duelo con sabor a venganza

La adaptación de los corredores a la ola de calor, de nuevo presente en la Grande Boucle

El sprint, el coto de Jasper Philipsen

El Covid-19, un invitado sorpresa que aún teme el Tour de Francia



Source link-5

]]>
https://magazineoffice.com/caidas-batalla-vingegaard-pogacar-y-victoria-de-rodriguez-revive-la-14a-etapa/feed/ 0
Se espera que Intel desarrolle nodos de proceso 14A «1.4nm» y 10A «1.0nm» con litografía EUV https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/ https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/#respond Sun, 12 Feb 2023 07:14:13 +0000 https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/

Se informa que Intel va por buen camino con el lanzamiento de nuevas tecnologías de nodos de proceso en los próximos años: 3, 20A y 18A. La compañía ya logró Intel 7 en 2021 comenzando con la serie de procesadores Alder Lake. Intel 7 también se usó en los conjuntos de chips Raptor Lake, Sapphire Rapids, Xe-HP y Xe-HPC. Ahora, la compañía mira hacia el futuro con nuevos nodos de proceso para producir en masa a partir de la primera parte del próximo año.

Intel desarrolla procesos 20A y 18A para utilizar máquinas de litografía EUV de ASML con 14A y 10A también esperados en el futuro

Intel está buscando continuar con la investigación y el desarrollo de Intel 4, que era la tecnología original de nodo de proceso de 7 nm y se presentará en Meteor Lake y Granite Rapids. Se dice que produce un veinte por ciento de rendimiento por vatio (PPW) sobre Intel 7 utilizando litografía EUV. Intel 3 luego aumentará la litografía EUV para permitir una mayor modularidad y está programado para ofrecer bibliotecas de mayor rendimiento, aumentando el PPW al dieciocho por ciento.

Intel 20A y 18A impulsarán las máquinas EUV fabricadas por ASML para producir nodos de proceso de 1,8 nm para 2024. 20A y 18A son desconocidos en cuanto a la serie de procesadores, pero contarán con RibbonFET (transistores de efecto de campo de cinta) y PowerVia (red de suministro de energía backend) para 20A y RibbonFET de segunda generación y litografía High NA EUV para 18A. RibbonFET reemplazó la arquitectura de transistores FinFET durante el último año.

ASML prevé alcanzar la marca de 1 nm para 2028, pero no antes de ayudar a Intel con el proceso 14A de futura generación, que utilizará los nodos de proceso de 1,4 nm.

La tecnología de litografía EUV, especialmente con la investigación para mejorar el proceso, aumentará los costos de fabricación, así como el costo de crear máquinas más nuevas para crear los nuevos nodos de proceso. Actualmente, las máquinas de litografía EUV cuestan cerca de $ 150 millones y los costos especulativos aumentarán a $ 400 millones.

Fuente de la imagen: Tecnología Kuai

La máquina de litografía ASML EUV más nueva que se está desarrollando actualmente es la serie EXE:5000 que permitirá la tecnología High NA para el año 2026, que coincidirá con los planes de Intel para 18A.

Intel no ha anunciado oficialmente el desarrollo de las dos generaciones más nuevas (20A y 18A), pero se rumorea que el gigante tecnológico está en proceso de desarrollo, lo que coincidiría con sus planes quinquenales creados en 2021.

Fuente de noticias: Tecnología Huai

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/feed/ 0