EUV – Magazine Office https://magazineoffice.com Vida sana, belleza, familia y artículos de actualidad. Tue, 28 May 2024 08:20:12 +0000 es hourly 1 https://wordpress.org/?v=6.5.3 El director ejecutivo de TSMC visitó la sede de ASML en secreto, anunciando un posible cambio en el enfoque de la empresa hacia la litografía EUV de alta NA https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/ https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/#respond Tue, 28 May 2024 08:20:09 +0000 https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/

Esto no es un consejo de inversión. El autor no tiene posición en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

TSMC ha sostenido constantemente que puede arreglárselas bastante bien sin las últimas máquinas de litografía EUV High-NA de ASML, calificándolas de demasiado caras para tener mucho sentido económico antes de 2026. Ahora, sin embargo, parece que el fabricante de chips contratado está reconsiderando esto. postura clara, como lo indica una visita secreta de su director ejecutivo a la sede de ASML.

Intel, una especie de archienemigo de TSMC, ha apostado su supervivencia en lograr una ventaja insuperable en el campo emergente de la litografía EUV de alta NA. De hecho, las primeras máquinas de este tipo están destinadas a las unidades de fabricación de chips de Intel. Intel tiene la intención de experimentar con la litografía High-NA Extreme Ultraviolet (EUV) dentro de los parámetros de su próximo nodo de proceso 18A (1,8 nm) antes de incorporarla formalmente a su proceso de fabricación 14A (1,4 nm).

Por el contrario, TSMC ha declarado públicamente que su línea actual de máquinas de litografía EUV Low-NA puede soportar la producción hasta 2026. Aparentemente, la compañía está contenta con mejoras iterativas, incluidas máscaras múltiples para una mayor eficiencia de producción y diseños avanzados de transistores basados ​​en nanoláminas. para su próximo nodo de proceso A16. El fabricante de chips taiwanés también parece confiar en la entrega de energía trasera Super Power Rail, donde la energía se suministra a través de la parte posterior del chip, para aumentar el rendimiento de sus productos para cargas de trabajo de IA.

El director ejecutivo de TSMC visita la sede de ASML

Esto nos lleva al meollo de la cuestión. En lugar de asistir al Simposio de Tecnología 2024, el director ejecutivo de TSMC, CC Wei, visitó en secreto la sede de ASML en los Países Bajos el 26 de mayo. Según Business Korea, algunos detalles de la visita de Wei se pueden obtener de las publicaciones en las redes sociales del director ejecutivo de ASML, Christopher Fuke, y de Nicola Leibinger-Kammüller, director ejecutivo de TRUMPF.

Según los planes declarados de TSMC, el fabricante de chips por contrato busca adoptar la litografía EUV High-NA solo después de presentar sus productos basados ​​en 1,6 nm. Sin embargo, la visita secreta de Wei a la sede de ASML ha hecho que se muevan las lenguas proverbiales, especialmente porque indica la presencia de una corriente subterránea y, tal vez, un replanteamiento más amplio de la trayectoria actual de TSMC.

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/feed/ 0
SMIC ha desarrollado con éxito el proceso de 5 nm sin maquinaria EUV, la serie Mate 70 de Huawei probablemente sea el primer receptor de esta tecnología https://magazineoffice.com/smic-ha-desarrollado-con-exito-el-proceso-de-5-nm-sin-maquinaria-euv-la-serie-mate-70-de-huawei-probablemente-sea-el-primer-receptor-de-esta-tecnologia/ https://magazineoffice.com/smic-ha-desarrollado-con-exito-el-proceso-de-5-nm-sin-maquinaria-euv-la-serie-mate-70-de-huawei-probablemente-sea-el-primer-receptor-de-esta-tecnologia/#respond Thu, 16 May 2024 13:19:21 +0000 https://magazineoffice.com/smic-ha-desarrollado-con-exito-el-proceso-de-5-nm-sin-maquinaria-euv-la-serie-mate-70-de-huawei-probablemente-sea-el-primer-receptor-de-esta-tecnologia/

Según se informa, la mayor empresa de fabricación de semiconductores de China, SMIC, ha logrado un nuevo hito, y eso también, sin el uso de maquinaria EUV avanzada que brinda a empresas como Samsung y TSMC una ventaja en el espacio de fabricación de chips de vanguardia. La información más reciente afirma que utilizando equipos DUV, se completó el proceso de 5 nm y SMIC está listo para producir en masa el primer lote de obleas. Anteriormente se dijo que Huawei estaba trabajando estrechamente con su socio de fundición local para presentar un nuevo Kirin SoC que se encontrará en la próxima serie Mate 70 para octubre de este año.

No se sabe nada sobre los rendimientos, pero anteriormente se decía que el proceso de 5 nm de SMIC era más caro de producir debido a la falta de maquinaria de próxima generación.

Grabar con éxito el proceso de 5 nm ya iba a ser una tarea hercúlea para SMIC, ya que la prohibición comercial de Estados Unidos prohíbe a empresas como ASML, con sede en Holanda, suministrar su maquinaria EUV de última generación a cualquier empresa china. Con su ventaja competitiva sofocada, SMIC tuvo que lograr este objetivo utilizando su equipo DUV existente. Según un gurú de los chips, las obleas de 5 nm podrían producirse en masa en maquinaria más antigua, pero sería una tarea costosa y los rendimientos se verían afectados.

Más tarde, se estimó que los precios de los chips de 5 nm de SMIC serían hasta un 50 por ciento más caros que los de TSMC en la misma litografía, lo que significa que Huawei tendría dificultades para vender su serie Mate 70 a los consumidores con un margen decente si intenta absorber un la mayoría de los costos de esos componentes. Un área que el antiguo gigante chino puede aprovechar y atraer clientes es su HarmonyOS Next interno, que supuestamente debutará con la serie Mate 70 y se dice que es más eficiente en la gestión de memoria en comparación con la plataforma Android de Google.

Si bien es probable que SMIC tenga la intención de fabricar obleas de 5 nm durante un par de años, no se limitará a un proceso de fabricación más antiguo, ya que se rumorea que formará un equipo de investigación y desarrollo destinado a desarrollar chips de 3 nm. Por ahora, esperaremos la llegada del primer SoC de 5 nm de Huawei y veremos qué mejoras se realizan en el nodo de 5 nm de SMIC, así que estad atentos.

Fuente de noticias: Hankyung

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/smic-ha-desarrollado-con-exito-el-proceso-de-5-nm-sin-maquinaria-euv-la-serie-mate-70-de-huawei-probablemente-sea-el-primer-receptor-de-esta-tecnologia/feed/ 0
Intel asegura todas las máquinas de litografía EUV de alta NA de ASML que se construirán este año https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/ https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/#respond Thu, 09 May 2024 18:31:53 +0000 https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/

Intel ha apostado por la tecnología de litografía EUV de alta NA de ASML para el futuro y ha realizado pedidos para todas las máquinas que se construirán este año.

Intel logra superar a sus competidores al asegurar equipos de litografía EUV de vanguardia de ASML a través de miles de millones en inversiones

The Elec informa que Intel ha confiado en el equipo de litografía High-NA Extreme Ultraviolet (EUV) del fabricante de chips holandés, ya que la compañía reserva pedidos para los cinco o seis kits, que se fabricarán este año. Si bien no está confirmado, Intel planea utilizar la magia de ASML en sus próximos 18A (1,8 nm) y 14A (1,4 nm), a través de los cuales la división de fundición de la compañía planea adelantarse a los competidores del mercado y a la reserva de EUV de alta NA. El equipo podría resultar bastante beneficioso para el Equipo Azul, y discutiremos la razón detrás de esto más adelante.

Además de Intel, se revela que se espera que competidores del mercado como Samsung y SK hynix reciban el lote inicial de máquinas de litografía EUV de alto NA, que pueden llegar en algún momento en la segunda mitad de 2025. Esto significa que Team Blue tiene una ventaja sobre las máquinas de litografía, pero podrían lograr procesos superiores mucho más rápido en comparación con los competidores, lo que significa que en términos de carrera de mercado, Intel podría estar muy por delante de otros, aunque no comentaremos mucho sobre las tasas de rendimiento y el proceso. eficiencias, ya que esa es una charla para otro día.

Fuente de la imagen: Intel

Se informa que una sola unidad de estas máquinas de litografía EUV de alto valor NA cuesta 500 mil millones de wones cada una, o alrededor de 370 millones de dólares. Dado que Intel habría adquirido entre cinco y seis unidades, el importe total de la inversión podría alcanzar unos 2.000 millones de dólares.

A través de ASML, Intel podría intentar revivir una vez más su reputación en el mercado, y qué mejor manera que invertir inmensas cantidades de dinero en equipos de alta gama, también con el dinero recaudado por los contribuyentes estadounidenses y luego distribuido a través de esquemas como como la Ley CHIPS, que ha favorecido enormemente al Equipo Azul en el pasado.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/feed/ 0
La adopción de Intel de la litografía EUV de alta NA y la renuencia de TSMC amenazan con cambiar el paradigma de supremacía predominante en la industria https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/ https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/#respond Sat, 27 Apr 2024 03:26:32 +0000 https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/

Esto no es un consejo de inversión. El autor no tiene posición en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

Mientras los analistas de Bernstein piden a sus clientes que abandonen Intel hasta al menos 2030, el antiguo gigante en la esfera de la fabricación de chips se encuentra en un nadir cíclico y se espera que permanezca allí durante los próximos años mientras continúa perdiendo ingresos y cediendo sus márgenes. Sin embargo, la renuencia inmediata de TSMC a adoptar la última tecnología de litografía abre una ventana estrecha para que Intel recupere su gloria perdida.

Intel tiene la intención de experimentar con la litografía High-NA Extreme Ultraviolet (EUV) dentro de los parámetros de su próximo nodo de proceso 18A (1,8 nm) antes de incorporarla formalmente a su proceso de fabricación 14A (1,4 nm).

Por el contrario, TSMC parece contento con mejoras iterativas, incluidas múltiples máscaras para una mayor eficiencia de producción y diseños avanzados de transistores basados ​​en nanoláminas, para su próximo nodo de proceso A16. El fabricante de chips taiwanés también parece confiar en la entrega de energía trasera Super Power Rail, donde la energía se suministra a través de la parte posterior del chip, para aumentar el rendimiento de sus productos para cargas de trabajo de IA.

Esto nos lleva al meollo de la cuestión. Cada máquina de litografía High-NA EUV de ASML cuesta alrededor de 385 millones de dólares. Es probable que el costo prohibitivo de la máquina sea una consideración importante por la renuencia de TSMC a apostar por esta tecnología.

Sin embargo, al hacerlo, TSMC corre el riesgo de repetir una especie de mea culpa de Intel cuando decidió maximizar su métrica de resultados reteniendo el gasto de sus entonces copiosos recursos financieros en la recién introducida litografía EUV. En ese momento, TSMC había apostado por la litografía EUV y, como resultado, continúa cosechando los frutos de su táctica inteligente hasta el día de hoy.

Por supuesto, esta vez, Pat Gelsinger de Intel ha apostado la supervivencia misma de su empresa a lograr una ventaja insuperable en el campo emergente de la litografía EUV de alta NA, que promete una resolución incomparable que las actualizaciones iterativas del proceso general de litografía EUV no pueden lograr. fósforo. El hecho de que los contribuyentes estadounidenses tuvieran que pagar una parte importante de los costos asociados tenía que haber figurado en la arriesgada táctica de Intel, especialmente porque la financiación de la Ley CHIPS de la administración Biden ha servido para socializar estos costos para el antiguo gigante de la fabricación de chips.

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/feed/ 0
El gobierno japonés aumenta los subsidios para las fábricas Micron EUV a 1.290 millones de dólares https://magazineoffice.com/el-gobierno-japones-aumenta-los-subsidios-para-las-fabricas-micron-euv-a-1-290-millones-de-dolares/ https://magazineoffice.com/el-gobierno-japones-aumenta-los-subsidios-para-las-fabricas-micron-euv-a-1-290-millones-de-dolares/#respond Sat, 30 Sep 2023 10:04:39 +0000 https://magazineoffice.com/el-gobierno-japones-aumenta-los-subsidios-para-las-fabricas-micron-euv-a-1-290-millones-de-dolares/

El Ministerio japonés de Economía, Comercio e Industria está aumentando sus subsidios para la fábrica de Micron en Hiroshima de 320 millones de dólares a 1.290 millones de dólares, según un informe de Nikkei. El aumento garantiza que la empresa construya su fábrica a tiempo. Para el gobierno japonés, la subvención es parte de un plan más amplio que abarca varios miles de millones de yenes invertidos en varias compañías de chips diseñadas para mejorar la destreza de Japón en semiconductores.

Micron obtuvo 320 millones de dólares en financiación para su fábrica en Hiroshima hace casi exactamente un año. Mientras tanto, las inversiones totales de Micron en las nuevas instalaciones de producción debían ascender a unos 500 mil millones de yenes (3,618 mil millones de dólares). Si bien 320 millones de dólares es mucho dinero, puede que no sea suficiente dados los reducidos gastos de capital de Micron en comparación con los niveles de 2022. Como resultado, parece que Micron y el gobierno japonés han llegado a un acuerdo para aumentar los subsidios, según Nikkei.

(Crédito de la imagen: Micron)

Micron pretende iniciar la fabricación en gran volumen de chips de memoria sofisticados utilizando su proceso 1γ (su nodo de clase 10 nm de tercera generación) que se basa en la litografía ultravioleta extrema (EUV) para 2026, por lo que la empresa necesita dinero lo antes posible.



Source link-41

]]>
https://magazineoffice.com/el-gobierno-japones-aumenta-los-subsidios-para-las-fabricas-micron-euv-a-1-290-millones-de-dolares/feed/ 0
Los envíos de ASML EUV pueden caer un 30% en 2024 debido a la baja demanda de 3 nm, advierte un analista https://magazineoffice.com/los-envios-de-asml-euv-pueden-caer-un-30-en-2024-debido-a-la-baja-demanda-de-3-nm-advierte-un-analista/ https://magazineoffice.com/los-envios-de-asml-euv-pueden-caer-un-30-en-2024-debido-a-la-baja-demanda-de-3-nm-advierte-un-analista/#respond Fri, 29 Sep 2023 18:49:37 +0000 https://magazineoffice.com/los-envios-de-asml-euv-pueden-caer-un-30-en-2024-debido-a-la-baja-demanda-de-3-nm-advierte-un-analista/

Esto no es un consejo de inversión. El autor no tiene posición en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

La empresa holandesa ASML, que fabrica y vende los equipos de fabricación de chips más avanzados del mundo, podría ver reducida una gran parte de sus pedidos el próximo año, cree un analista. ASML es la única empresa que fabrica equipos de fabricación de chips que utiliza luz ultravioleta para imprimir miles de millones de transistores en una pequeña pieza de silicio. Denominadas máquinas EUV, se encuentran entre algunos de los productos industriales más buscados del mundo y son utilizadas por todos los principales fabricantes de chips del mundo, como Taiwan Semiconductor Manufacturing Company (TSMC) e Intel Corporation.

La caída de las ventas de Apple puede provocar que ASML experimente una caída de hasta un 30 % en las previsiones de envío de equipos EUV para 2024

Debido a su naturaleza, que puede permitir a cualquier empresa fabricante de chips producir los últimos productos, las máquinas EUV de ASML también son bastante controvertidas. Su suministro a China ha sido prohibido por el gobierno de Estados Unidos debido a la preocupación de que los productos fabricados a través de ellos sean utilizados por el ejército chino contra los intereses de seguridad nacional de Estados Unidos. Al mismo tiempo, como ASML es la única empresa que las fabrica, las máquinas se reservan con meses de antelación, ya que empresas como Intel y TSMC suelen necesitar grandes cantidades para producir miles de obleas de silicio en un mes.

Según el analista Ming-Chi Kuo, conocido por su conocimiento de la cadena de suministro de Apple, una caída en la demanda de iPads y MacBooks de 3 nanómetros de Apple, una caída en los pedidos de Qualcomm de chips de 3 nm debido al alejamiento de Huawei de los productos de la compañía, una caída También se espera que la demanda de productos 3GAP+ de Samsung Foundry y los productos 20A de Inte y un retraso más prolongado en la futura expansión de los fabricantes de memorias contribuyan a una reducción en las previsiones de envíos para 2024.

Un láser que golpea una gota de estaño dentro del TWINSCAN NXE:3400 de ASML para generar luz ultravioleta extrema (EUV) dentro de la fuente de luz de la máquina. Estas máquinas se utilizan para «imprimir» chips y cuestan más de 120 millones de dólares cada una. Imagen: ASML

TSMC e Intel ya tienen las máquinas EUV y la carrera entre ellos se ha extendido a los sucesores de EUV. Estas máquinas se llaman High NA EUV y tienen lentes más anchas para permitir el paso de más luz. Esto es crucial ya que las tecnologías avanzadas de fabricación de chips, como las de 2 y 3 nanómetros, pueden generar patrones borrosos impresos en el chip debido a sus pequeños tamaños.

En el informe de resultados de ASML para el segundo trimestre, la empresa registró 6.900 millones de euros en ingresos y 1.900 millones de euros en ingresos netos. La empresa envió 13 máquinas EUV que le permitieron reconocer 2.000 millones de euros de ingresos. Su dirección también compartió que los envíos de EUV están sufriendo retrasos debido a una desaceleración en el sector de los chips. Añadió que para todo el año 2023, ASML ahora planea enviar 52 sistemas. Esta reducción también redujo las estimaciones de crecimiento de los ingresos EUV de ASML para 2023 casi a la mitad, ya que la empresa ahora espera aumentar los ingresos EUV en un 25% en lugar del 40% proyectado anteriormente.

Durante su convocatoria de resultados para el primer trimestre de 2023, ASML tenía la intención de enviar 60 máquinas EUV, ya que la empresa obtuvo 6.500 millones de euros en ingresos para el segundo trimestre y envió 9 máquinas EUV en el primer trimestre. El director ejecutivo de ASML, Peter Wennink, comentó sobre la desaceleración que vive el sector de los chips en este momento durante una entrevista en video para los resultados del segundo trimestre, donde explicó:

Pero eso significa que nuestros clientes todavía tienen que lidiar con inventarios relativamente altos. Niveles altos y ¿cómo lidias con eso? Básicamente reduciendo la producción de obleas. Y la producción de obleas significa que, por supuesto, la utilización de nuestras herramientas también es menor. ¿Qué significa eso? Quiero decir, en nuestro negocio EUV vemos algún cambio en el ritmo de la demanda. Esto se debe en gran medida, diría predominantemente, a una fabulosa preparación. Las fábricas no están listas y ¿por qué? Hay problemas de habilidades. Hemos dicho eso antes y ya sea en Taiwán o en Estados Unidos, hay problemas de habilidades. Personas que realmente tienen la capacidad de construir estas fábricas muy avanzadas.

Por supuesto, también hay algún elemento en la situación macroeconómica, donde la gente tiene algunas preocupaciones sobre la duración de este ciclo bajista. Eso es lo que estamos viendo para EUV.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/los-envios-de-asml-euv-pueden-caer-un-30-en-2024-debido-a-la-baja-demanda-de-3-nm-advierte-un-analista/feed/ 0
Intel lleva EUV de alto volumen a Europa: Fab 34 comienza a producir Intel 4 Node https://magazineoffice.com/intel-lleva-euv-de-alto-volumen-a-europa-fab-34-comienza-a-producir-intel-4-node/ https://magazineoffice.com/intel-lleva-euv-de-alto-volumen-a-europa-fab-34-comienza-a-producir-intel-4-node/#respond Thu, 28 Sep 2023 16:32:48 +0000 https://magazineoffice.com/intel-lleva-euv-de-alto-volumen-a-europa-fab-34-comienza-a-producir-intel-4-node/

Intel comenzará formalmente la fabricación de alto volumen (HVM) de chips utilizando su tecnología de proceso Intel 4 en su Fab 34 cerca de Leixlip, Irlanda, el viernes 29 de septiembre de 2023. Esta será la primera vez que se utilice la litografía ultravioleta extrema (EUV) para Producción en masa europea.

La Fab 34 de Intel en Irlanda será la segunda instalación de producción de semiconductores de la compañía equipada para fabricar chips en el proceso de fabricación Intel 4 después de la fábrica D1 de la compañía cerca de Hillsboro, Oregón. La empresa utilizó su programa Copy Exactly! procedimiento para llevar el nodo de proceso habilitado para EUV de EE. UU. a Irlanda y el uso de este enfoque garantiza que todos los métodos de mejoras continuas de procesos (CPI) aumenten los rendimientos y reduzcan las variaciones de rendimiento a través de los medios de control estadístico de procesos (SPC) introducidos en el Estados Unidos o cualquier otro lugar podría implementarse en Fab 34.



Source link-41

]]>
https://magazineoffice.com/intel-lleva-euv-de-alto-volumen-a-europa-fab-34-comienza-a-producir-intel-4-node/feed/ 0
China pretende utilizar aceleradores de partículas para construir chips y evadir las sanciones EUV https://magazineoffice.com/china-pretende-utilizar-aceleradores-de-particulas-para-construir-chips-y-evadir-las-sanciones-euv/ https://magazineoffice.com/china-pretende-utilizar-aceleradores-de-particulas-para-construir-chips-y-evadir-las-sanciones-euv/#respond Tue, 26 Sep 2023 19:33:05 +0000 https://magazineoffice.com/china-pretende-utilizar-aceleradores-de-particulas-para-construir-chips-y-evadir-las-sanciones-euv/

De acuerdo con la Poste matutino del sur de China (SCMP), China planea utilizar un enfoque innovador para fabricar procesadores aprovechando aceleradores de partículas, posicionándose potencialmente como líder mundial en fabricación de chips avanzados. SCMP dice que el método busca evadir las limitaciones de las máquinas de litografía tradicionales y las sanciones de Estados Unidos a la tecnología EUV, lo que podría remodelar el panorama de la industria de semiconductores.

Un equipo de investigación chino, dirigido por la Universidad de Tsinghua, está desarrollando una fuente láser única utilizando aceleradores de partículas. Su objetivo es evitar las limitaciones de las máquinas de litografía convencionales, que son fundamentales en la producción de microchips. El acelerador de partículas propuesto tendrá aproximadamente el tamaño de dos canchas de baloncesto, con una circunferencia de entre 100 y 150 metros, y servirá como fuente de luz de alta calidad para la fabricación de chips.



Source link-41

]]>
https://magazineoffice.com/china-pretende-utilizar-aceleradores-de-particulas-para-construir-chips-y-evadir-las-sanciones-euv/feed/ 0
ASML enviará la primera herramienta EUV de alta NA este año: 300 millones de dólares por escáner https://magazineoffice.com/asml-enviara-la-primera-herramienta-euv-de-alta-na-este-ano-300-millones-de-dolares-por-escaner/ https://magazineoffice.com/asml-enviara-la-primera-herramienta-euv-de-alta-na-este-ano-300-millones-de-dolares-por-escaner/#respond Thu, 07 Sep 2023 16:22:18 +0000 https://magazineoffice.com/asml-enviara-la-primera-herramienta-euv-de-alta-na-este-ano-300-millones-de-dolares-por-escaner/

ASML está en camino de enviar este año el primer escáner de litografía ultravioleta extrema (EUV) de la industria con una apertura numérica (NA) de 0,55, dijo esta semana el director ejecutivo de la compañía. La máquina Twinscan EXE:5000 de ASML se utilizará principalmente con fines de desarrollo y para familiarizar a los clientes de la empresa con la nueva tecnología y sus capacidades. El uso comercial de herramientas High-NA está previsto para 2025 y más allá.

«Algunos proveedores tuvieron algunas dificultades para avanzar y darnos el nivel adecuado de calidad tecnológica, lo que provocó algunos retrasos», dijo Peter Wennink, director ejecutivo de ASML, en una conversación con Reuters. «Pero, de hecho, el primer envío todavía se realizará este año.

Este año ASML enviará su escáner Twinscan EXE:5000 a un cliente no revelado. El cliente probablemente será Intel, ya que la compañía una vez reveló públicamente sus planes de usar escáneres High-NA para su tecnología de proceso 18A, pero finalmente tuvo que optar por una solución diferente que involucraba patrones dobles EUV y modelado de patrones usando el sistema Centura Sculpta de Applied Materials (como Los escáneres comerciales Twinscan EXE:5200 solo estarán disponibles en 2025).

(Crédito de la imagen: ASML)

Es probable que Intel adopte las herramientas High-NA de ASML para sus tecnologías de proceso posteriores a 18A, mientras que sus rivales de TSMC y Samsung las utilizarán más adelante en esta década. Pero esos escáneres no serán baratos. Se estima que pueden costar más de 300 millones de dólares por unidad, lo que aumentará aún más los costos de las fábricas de vanguardia.

ASML

(Crédito de la imagen: ASML)

Los escáneres EUV contemporáneos de ASML con 0,33 NA y una resolución de 13 nm pueden imprimir chips con pasos de metal de alrededor de 30 nm con un patrón de exposición única, lo cual es lo suficientemente bueno para nodos de producción como las clases de 5 nm o 4 nm. Para que todo sea más fino, los fabricantes de chips deben utilizar técnicas de modelado doble EUV o de modelado de patrones, que es lo que harán durante los próximos años. Pero más allá de eso, planean utilizar los escáneres EUV High-NA de próxima generación de ASML con una NA de 0,55 y una resolución de alrededor de 8 nm.



Source link-41

]]>
https://magazineoffice.com/asml-enviara-la-primera-herramienta-euv-de-alta-na-este-ano-300-millones-de-dolares-por-escaner/feed/ 0
El sitio avanzado de 2nm de TSMC permanece en el limbo, las máquinas EUV en juego en Arizona https://magazineoffice.com/el-sitio-avanzado-de-2nm-de-tsmc-permanece-en-el-limbo-las-maquinas-euv-en-juego-en-arizona/ https://magazineoffice.com/el-sitio-avanzado-de-2nm-de-tsmc-permanece-en-el-limbo-las-maquinas-euv-en-juego-en-arizona/#respond Sun, 20 Aug 2023 16:32:22 +0000 https://magazineoffice.com/el-sitio-avanzado-de-2nm-de-tsmc-permanece-en-el-limbo-las-maquinas-euv-en-juego-en-arizona/

Esto no es un consejo de inversión. El autor no tiene cargo en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

La planta de fabricación de chips de 2 nanómetros de Taiwan Semiconductor Manufacturing Company (TSMC) cuya construcción está programada para comenzar en la ciudad de Taichung de Taiwán no podrá comenzar a construirse a fines de este año, según el director de la agencia responsable de administrar la ciencia y la tecnología. infraestructura tecnológica en la isla. TSMC planeó construir dos sitios de 2 nanómetros en Taiwán, el primero en la ciudad de Hsinchu. Sin embargo, la firma confirmó a principios de este año que también fabricaría los chips de próxima generación en la ciudad de Kaohsiung en la isla, y parece que esta decisión ha sido motivada por retrasos en la aprobación del sitio de Taichung.

Es poco probable que la planta de chips de 2 nanómetros de Taichung de TSMC no pueda comenzar la construcción para finales de 2023

Los principales obstáculos que rodean el sitio de 2 nanómetros en Taichung giran en torno a las necesidades de agua y electricidad de la planta. La fabricación de chips es un proceso intensivo en recursos que requiere altos niveles de pureza y necesita grandes cantidades de agua pura para garantizar que se cumplan los requisitos de pureza de los productos. Estos a menudo han creado obstáculos para TSMC, con una sequía en Taiwán en 2021 que obligó a la fábrica a cumplir con sus requisitos de agua mediante el pedido de camiones cisterna.

Según fuentes taiwanesas, si el gobierno de la ciudad aprueba los planes de la fábrica de 2 nanómetros de Taichung, se enviarán al Ministerio del Interior. La revisión en esta etapa permitirá la asignación de terrenos y operaciones relacionadas, pero las fuentes creen que incluso si el ministerio aprueba el proyecto tan pronto como sea posible, las formalidades posteriores probablemente significarán que TSMC no puede comenzar la construcción a fines de este año. .

TSMC planea producir en masa productos de 2 nanómetros en 2025, y antes de que pueda hacerlo, debe configurar las máquinas y comenzar el proceso de producción de prueba. Este proceso asegura que las máquinas estén en condiciones de trabajo para soportar la producción en masa. También prueba el rendimiento de los productos para evitar que se produzcan impurezas o productos defectuosos a gran escala durante el proceso de producción en masa.

Los camiones cisterna salen de la fábrica de TSMC en Taiwán mientras navegaba por una sequía histórica y demanda de chips en 2021. Imagen: CNA

El sitio de Taichung se construirá en la expansión del parque científico Zhong-ke, y los planes para la expansión del parque se presentaron al gobierno de la ciudad en marzo del año pasado. Sin embargo, desde entonces, no se ha otorgado ninguna aprobación, ya que los funcionarios de la ciudad no están seguros del impacto del plan en el consumo de agua y electricidad de los residentes.

Las empresas de servicios públicos de Taiwán, Taiwan Power y Taiwan Water, ambas propiedad del Ministerio de Asuntos Económicos, han asegurado que el uso de agua y energía de la planta de 2 nanómetros no afectará a los residentes de Taichung. Esto ha acelerado la expansión de Zhong-ke, con el plan de expansión incluido en la agenda del gobierno de la ciudad de Taichung. Sin embargo, como se destacó anteriormente, esto podría ser demasiado tarde para que la construcción de la planta comience a finales de este año.

Al otro lado del mundo, en Estados Unidos, la nueva planta de fabricación de TSMC en Arizona ha comenzado a instalar máquinas avanzadas de fabricación de chips EUV. Los informes sugieren que la planta de Arizona todavía requiere 2000 trabajadores para instalar el equipo, y el sitio ha sido una fuente de considerable controversia ya que los empleados locales han criticado a TSMC por traer especialistas taiwaneses para instalar las máquinas.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/el-sitio-avanzado-de-2nm-de-tsmc-permanece-en-el-limbo-las-maquinas-euv-en-juego-en-arizona/feed/ 0