litografía – Magazine Office https://magazineoffice.com Vida sana, belleza, familia y artículos de actualidad. Tue, 28 May 2024 08:20:12 +0000 es hourly 1 https://wordpress.org/?v=6.5.3 El director ejecutivo de TSMC visitó la sede de ASML en secreto, anunciando un posible cambio en el enfoque de la empresa hacia la litografía EUV de alta NA https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/ https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/#respond Tue, 28 May 2024 08:20:09 +0000 https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/

Esto no es un consejo de inversión. El autor no tiene posición en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

TSMC ha sostenido constantemente que puede arreglárselas bastante bien sin las últimas máquinas de litografía EUV High-NA de ASML, calificándolas de demasiado caras para tener mucho sentido económico antes de 2026. Ahora, sin embargo, parece que el fabricante de chips contratado está reconsiderando esto. postura clara, como lo indica una visita secreta de su director ejecutivo a la sede de ASML.

Intel, una especie de archienemigo de TSMC, ha apostado su supervivencia en lograr una ventaja insuperable en el campo emergente de la litografía EUV de alta NA. De hecho, las primeras máquinas de este tipo están destinadas a las unidades de fabricación de chips de Intel. Intel tiene la intención de experimentar con la litografía High-NA Extreme Ultraviolet (EUV) dentro de los parámetros de su próximo nodo de proceso 18A (1,8 nm) antes de incorporarla formalmente a su proceso de fabricación 14A (1,4 nm).

Por el contrario, TSMC ha declarado públicamente que su línea actual de máquinas de litografía EUV Low-NA puede soportar la producción hasta 2026. Aparentemente, la compañía está contenta con mejoras iterativas, incluidas máscaras múltiples para una mayor eficiencia de producción y diseños avanzados de transistores basados ​​en nanoláminas. para su próximo nodo de proceso A16. El fabricante de chips taiwanés también parece confiar en la entrega de energía trasera Super Power Rail, donde la energía se suministra a través de la parte posterior del chip, para aumentar el rendimiento de sus productos para cargas de trabajo de IA.

El director ejecutivo de TSMC visita la sede de ASML

Esto nos lleva al meollo de la cuestión. En lugar de asistir al Simposio de Tecnología 2024, el director ejecutivo de TSMC, CC Wei, visitó en secreto la sede de ASML en los Países Bajos el 26 de mayo. Según Business Korea, algunos detalles de la visita de Wei se pueden obtener de las publicaciones en las redes sociales del director ejecutivo de ASML, Christopher Fuke, y de Nicola Leibinger-Kammüller, director ejecutivo de TRUMPF.

Según los planes declarados de TSMC, el fabricante de chips por contrato busca adoptar la litografía EUV High-NA solo después de presentar sus productos basados ​​en 1,6 nm. Sin embargo, la visita secreta de Wei a la sede de ASML ha hecho que se muevan las lenguas proverbiales, especialmente porque indica la presencia de una corriente subterránea y, tal vez, un replanteamiento más amplio de la trayectoria actual de TSMC.

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/el-director-ejecutivo-de-tsmc-visito-la-sede-de-asml-en-secreto-anunciando-un-posible-cambio-en-el-enfoque-de-la-empresa-hacia-la-litografia-euv-de-alta-na/feed/ 0
Intel asegura todas las máquinas de litografía EUV de alta NA de ASML que se construirán este año https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/ https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/#respond Thu, 09 May 2024 18:31:53 +0000 https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/

Intel ha apostado por la tecnología de litografía EUV de alta NA de ASML para el futuro y ha realizado pedidos para todas las máquinas que se construirán este año.

Intel logra superar a sus competidores al asegurar equipos de litografía EUV de vanguardia de ASML a través de miles de millones en inversiones

The Elec informa que Intel ha confiado en el equipo de litografía High-NA Extreme Ultraviolet (EUV) del fabricante de chips holandés, ya que la compañía reserva pedidos para los cinco o seis kits, que se fabricarán este año. Si bien no está confirmado, Intel planea utilizar la magia de ASML en sus próximos 18A (1,8 nm) y 14A (1,4 nm), a través de los cuales la división de fundición de la compañía planea adelantarse a los competidores del mercado y a la reserva de EUV de alta NA. El equipo podría resultar bastante beneficioso para el Equipo Azul, y discutiremos la razón detrás de esto más adelante.

Además de Intel, se revela que se espera que competidores del mercado como Samsung y SK hynix reciban el lote inicial de máquinas de litografía EUV de alto NA, que pueden llegar en algún momento en la segunda mitad de 2025. Esto significa que Team Blue tiene una ventaja sobre las máquinas de litografía, pero podrían lograr procesos superiores mucho más rápido en comparación con los competidores, lo que significa que en términos de carrera de mercado, Intel podría estar muy por delante de otros, aunque no comentaremos mucho sobre las tasas de rendimiento y el proceso. eficiencias, ya que esa es una charla para otro día.

Fuente de la imagen: Intel

Se informa que una sola unidad de estas máquinas de litografía EUV de alto valor NA cuesta 500 mil millones de wones cada una, o alrededor de 370 millones de dólares. Dado que Intel habría adquirido entre cinco y seis unidades, el importe total de la inversión podría alcanzar unos 2.000 millones de dólares.

A través de ASML, Intel podría intentar revivir una vez más su reputación en el mercado, y qué mejor manera que invertir inmensas cantidades de dinero en equipos de alta gama, también con el dinero recaudado por los contribuyentes estadounidenses y luego distribuido a través de esquemas como como la Ley CHIPS, que ha favorecido enormemente al Equipo Azul en el pasado.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-asegura-todas-las-maquinas-de-litografia-euv-de-alta-na-de-asml-que-se-construiran-este-ano/feed/ 0
La adopción de Intel de la litografía EUV de alta NA y la renuencia de TSMC amenazan con cambiar el paradigma de supremacía predominante en la industria https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/ https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/#respond Sat, 27 Apr 2024 03:26:32 +0000 https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/

Esto no es un consejo de inversión. El autor no tiene posición en ninguna de las acciones mencionadas. Wccftech.com tiene una política de divulgación y ética.

Mientras los analistas de Bernstein piden a sus clientes que abandonen Intel hasta al menos 2030, el antiguo gigante en la esfera de la fabricación de chips se encuentra en un nadir cíclico y se espera que permanezca allí durante los próximos años mientras continúa perdiendo ingresos y cediendo sus márgenes. Sin embargo, la renuencia inmediata de TSMC a adoptar la última tecnología de litografía abre una ventana estrecha para que Intel recupere su gloria perdida.

Intel tiene la intención de experimentar con la litografía High-NA Extreme Ultraviolet (EUV) dentro de los parámetros de su próximo nodo de proceso 18A (1,8 nm) antes de incorporarla formalmente a su proceso de fabricación 14A (1,4 nm).

Por el contrario, TSMC parece contento con mejoras iterativas, incluidas múltiples máscaras para una mayor eficiencia de producción y diseños avanzados de transistores basados ​​en nanoláminas, para su próximo nodo de proceso A16. El fabricante de chips taiwanés también parece confiar en la entrega de energía trasera Super Power Rail, donde la energía se suministra a través de la parte posterior del chip, para aumentar el rendimiento de sus productos para cargas de trabajo de IA.

Esto nos lleva al meollo de la cuestión. Cada máquina de litografía High-NA EUV de ASML cuesta alrededor de 385 millones de dólares. Es probable que el costo prohibitivo de la máquina sea una consideración importante por la renuencia de TSMC a apostar por esta tecnología.

Sin embargo, al hacerlo, TSMC corre el riesgo de repetir una especie de mea culpa de Intel cuando decidió maximizar su métrica de resultados reteniendo el gasto de sus entonces copiosos recursos financieros en la recién introducida litografía EUV. En ese momento, TSMC había apostado por la litografía EUV y, como resultado, continúa cosechando los frutos de su táctica inteligente hasta el día de hoy.

Por supuesto, esta vez, Pat Gelsinger de Intel ha apostado la supervivencia misma de su empresa a lograr una ventaja insuperable en el campo emergente de la litografía EUV de alta NA, que promete una resolución incomparable que las actualizaciones iterativas del proceso general de litografía EUV no pueden lograr. fósforo. El hecho de que los contribuyentes estadounidenses tuvieran que pagar una parte importante de los costos asociados tenía que haber figurado en la arriesgada táctica de Intel, especialmente porque la financiación de la Ley CHIPS de la administración Biden ha servido para socializar estos costos para el antiguo gigante de la fabricación de chips.

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/la-adopcion-de-intel-de-la-litografia-euv-de-alta-na-y-la-renuencia-de-tsmc-amenazan-con-cambiar-el-paradigma-de-supremacia-predominante-en-la-industria/feed/ 0
Kirin 9010 es el último SoC para teléfonos inteligentes de Huawei con un grupo de CPU de 12 núcleos y se considera una variante más rápida del Kirin 9000S, litografía desconocida https://magazineoffice.com/kirin-9010-es-el-ultimo-soc-para-telefonos-inteligentes-de-huawei-con-un-grupo-de-cpu-de-12-nucleos-y-se-considera-una-variante-mas-rapida-del-kirin-9000s-litografia-desconocida/ https://magazineoffice.com/kirin-9010-es-el-ultimo-soc-para-telefonos-inteligentes-de-huawei-con-un-grupo-de-cpu-de-12-nucleos-y-se-considera-una-variante-mas-rapida-del-kirin-9000s-litografia-desconocida/#respond Thu, 18 Apr 2024 11:11:16 +0000 https://magazineoffice.com/kirin-9010-es-el-ultimo-soc-para-telefonos-inteligentes-de-huawei-con-un-grupo-de-cpu-de-12-nucleos-y-se-considera-una-variante-mas-rapida-del-kirin-9000s-litografia-desconocida/

Huawei no reveló mucho sobre el Kirin 9010, pero la compañía poco pudo hacer a la hora de controlar la información después de anunciar oficialmente la serie Pura 70. En comparación con el Kirin 9000S que debutó en la familia Mate 60 el año pasado, el Kirin 9010 es una mejora notable, ya que ofrece una configuración de 12 núcleos, junto con otros cambios, como pronto descubrirá.

Kirin 9010 no se encuentra en todos los buques insignia Pura 70, pero obtiene soporte de RAM LPDDR5X y más

El clúster de 12 núcleos del Kirin 9010 está en la configuración ‘2 + 6 + 4’, lo que lo convierte en una mejora significativa con respecto al Kirin 9000S de 8 núcleos. En resumen, deberíamos ser testigos de un aumento en el rendimiento multinúcleo, aunque deberíamos reducir el entusiasmo cuando hablamos del rendimiento de la GPU. Decimos esto porque, al igual que el Kirin 9000S, el Kirin 9010 está equipado con una GPU Maleoon 910. Sin embargo, existe la posibilidad de que estemos equivocados en nuestra afirmación porque Huawei puede haber aumentado la cantidad de núcleos de GPU en el Kirin 9010 en comparación con el del Kirin 9000S.

Puedes verlo en la imagen a continuación proporcionada por @faridofanani96 que los núcleos de rendimiento están limitados a 2,30 GHz, mientras que los núcleos de rendimiento del Kirin 9000S podrían alcanzar los 2,62 GHz. Es probable que Huawei haya degradado esta especificación del Kirin 9010 para reducir el consumo de energía, pero compensó este movimiento con una configuración de 12 núcleos, lo que lo convierte en una mejora notable con respecto a su predecesor. También supimos que el nuevo SoC es compatible con el estándar LPDDR5X de generación actual. Sin embargo, no podemos encontrar información relacionada con la litografía del SoC, por lo que nuestra suposición inmediata es que Huawei está atrapado con el proceso de 7 nm de SMIC para producir en masa el último silicio.

También debe saber que el Kirin 9010 no alimenta toda la serie Pura 70, y solo los más caros Pura 70+ y Pura 70 Ultra reciben el último y mejor silicio de Huawei. Compararemos el nuevo SoC con el Kirin 9000S mientras analizamos las diferencias de rendimiento entre los dos. Dado que las sanciones han bombardeado a Huawei, dejando a la compañía tecnológicamente limitada, no será sorprendente saber que el Kirin 9010 está varias generaciones por detrás de la competencia.

Sin embargo, una actualización sigue siendo una actualización y en breve proporcionaremos una serie de comparaciones y gráficos de rendimiento, así que estad atentos. En cuanto a un lanzamiento significativo de chipset de Huawei, recomendamos esperar hasta finales de año, cuando la compañía presente la familia Mate 70, ya que probablemente estará impulsada por el primer SoC de 5 nm de la empresa china.

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/kirin-9010-es-el-ultimo-soc-para-telefonos-inteligentes-de-huawei-con-un-grupo-de-cpu-de-12-nucleos-y-se-considera-una-variante-mas-rapida-del-kirin-9000s-litografia-desconocida/feed/ 0
ASML puede lanzar versiones específicas de China de herramientas de litografía: Informe https://magazineoffice.com/asml-puede-lanzar-versiones-especificas-de-china-de-herramientas-de-litografia-informe/ https://magazineoffice.com/asml-puede-lanzar-versiones-especificas-de-china-de-herramientas-de-litografia-informe/#respond Thu, 06 Jul 2023 04:19:59 +0000 https://magazineoffice.com/asml-puede-lanzar-versiones-especificas-de-china-de-herramientas-de-litografia-informe/

ASML está considerando el lanzamiento de una versión especial de su herramienta de litografía ultravioleta profunda (DUV) que cumplirá con las últimas normas de exportación de EE. UU. y que podría enviarse a clientes chinos sin licencia, informa DigiTimes. El dispositivo permitiría a empresas como SMIC y Hua Hong fabricar chips en nodos de clase 28nm y más gruesos, pero no les permitirá utilizar nodos más avanzados.

La herramienta de litografía en cuestión es Twinscan NXT:1980Di, y actualmente este es el escáner de inmersión menos avanzado que TSMC todavía fabrica. La máquina tiene una óptica de apertura numérica de 1,35 y es capaz de una resolución de <38 nm, que es suficiente para la clase de 7 nm e incluso nodos más avanzados. De hecho, este escáner, lanzado originalmente en 2016, fue utilizado por TSMC para desarrollar su tecnología de proceso de clase 7nm.

Reducir este dispositivo y aumentar su resolución mínima admitida para evitar que SMIC y otros fabricantes de chips chinos creen tecnología de proceso de menos de 28 nm es teóricamente posible. Teniendo en cuenta que la mayor parte de los ingresos de SMIC proviene de los nodos de producción que tienen un grosor superior a 28 nm, es probable que las empresas chinas sigan interesadas en adquirir tales herramientas.

Las últimas regulaciones de exportación exigen que las empresas y los individuos estadounidenses obtengan licencias para exportar herramientas y tecnologías capaces de fabricar chips lógicos con transistores no planos en nodos de 14nm/16nm e inferiores, 3D NAND con 128 capas o más y chips de memoria DRAM de 18nm la mitad -paso o menos. Las mismas reglas se aplican a las empresas no estadounidenses que exportan componentes de EE. UU., como es el caso de ASML y Twinscan NXT:1980Di.

Las últimas normas de exportación holandesas exigen que ASML obtenga una licencia de exportación para vender sus escáneres Twinscan NXT:2000i a empresas chinas. ASML no ha creado oficialmente una versión limitada de Twinscan NXT, pero si las regulaciones de exportación continúan restringiendo las ventas de tecnologías avanzadas a China, tal movimiento parece probable.



Source link-41

]]>
https://magazineoffice.com/asml-puede-lanzar-versiones-especificas-de-china-de-herramientas-de-litografia-informe/feed/ 0
Se espera que Intel desarrolle nodos de proceso 14A «1.4nm» y 10A «1.0nm» con litografía EUV https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/ https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/#respond Sun, 12 Feb 2023 07:14:13 +0000 https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/

Se informa que Intel va por buen camino con el lanzamiento de nuevas tecnologías de nodos de proceso en los próximos años: 3, 20A y 18A. La compañía ya logró Intel 7 en 2021 comenzando con la serie de procesadores Alder Lake. Intel 7 también se usó en los conjuntos de chips Raptor Lake, Sapphire Rapids, Xe-HP y Xe-HPC. Ahora, la compañía mira hacia el futuro con nuevos nodos de proceso para producir en masa a partir de la primera parte del próximo año.

Intel desarrolla procesos 20A y 18A para utilizar máquinas de litografía EUV de ASML con 14A y 10A también esperados en el futuro

Intel está buscando continuar con la investigación y el desarrollo de Intel 4, que era la tecnología original de nodo de proceso de 7 nm y se presentará en Meteor Lake y Granite Rapids. Se dice que produce un veinte por ciento de rendimiento por vatio (PPW) sobre Intel 7 utilizando litografía EUV. Intel 3 luego aumentará la litografía EUV para permitir una mayor modularidad y está programado para ofrecer bibliotecas de mayor rendimiento, aumentando el PPW al dieciocho por ciento.

Intel 20A y 18A impulsarán las máquinas EUV fabricadas por ASML para producir nodos de proceso de 1,8 nm para 2024. 20A y 18A son desconocidos en cuanto a la serie de procesadores, pero contarán con RibbonFET (transistores de efecto de campo de cinta) y PowerVia (red de suministro de energía backend) para 20A y RibbonFET de segunda generación y litografía High NA EUV para 18A. RibbonFET reemplazó la arquitectura de transistores FinFET durante el último año.

ASML prevé alcanzar la marca de 1 nm para 2028, pero no antes de ayudar a Intel con el proceso 14A de futura generación, que utilizará los nodos de proceso de 1,4 nm.

La tecnología de litografía EUV, especialmente con la investigación para mejorar el proceso, aumentará los costos de fabricación, así como el costo de crear máquinas más nuevas para crear los nuevos nodos de proceso. Actualmente, las máquinas de litografía EUV cuestan cerca de $ 150 millones y los costos especulativos aumentarán a $ 400 millones.

Fuente de la imagen: Tecnología Kuai

La máquina de litografía ASML EUV más nueva que se está desarrollando actualmente es la serie EXE:5000 que permitirá la tecnología High NA para el año 2026, que coincidirá con los planes de Intel para 18A.

Intel no ha anunciado oficialmente el desarrollo de las dos generaciones más nuevas (20A y 18A), pero se rumorea que el gigante tecnológico está en proceso de desarrollo, lo que coincidiría con sus planes quinquenales creados en 2021.

Fuente de noticias: Tecnología Huai

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/se-espera-que-intel-desarrolle-nodos-de-proceso-14a-1-4nm-y-10a-1-0nm-con-litografia-euv/feed/ 0