nodo – Magazine Office https://magazineoffice.com Vida sana, belleza, familia y artículos de actualidad. Fri, 07 Jun 2024 14:37:47 +0000 es hourly 1 https://wordpress.org/?v=6.5.4 Intel logra el “encendido” de Panther Lake en el nodo Crucial 18A, la próxima generación tiene que ver con IPC y Panther Lake admite varios segmentos y más flexibilidad de memoria https://magazineoffice.com/intel-logra-el-encendido-de-panther-lake-en-el-nodo-crucial-18a-la-proxima-generacion-tiene-que-ver-con-ipc-y-panther-lake-admite-varios-segmentos-y-mas-flexibilidad-de-memoria/ https://magazineoffice.com/intel-logra-el-encendido-de-panther-lake-en-el-nodo-crucial-18a-la-proxima-generacion-tiene-que-ver-con-ipc-y-panther-lake-admite-varios-segmentos-y-mas-flexibilidad-de-memoria/#respond Fri, 07 Jun 2024 14:37:44 +0000 https://magazineoffice.com/intel-logra-el-encendido-de-panther-lake-en-el-nodo-crucial-18a-la-proxima-generacion-tiene-que-ver-con-ipc-y-panther-lake-admite-varios-segmentos-y-mas-flexibilidad-de-memoria/

Intel ha logrado el «encendido» con sus CPU Panther Lake de próxima generación que utilizan el nodo de proceso 18A y están llegando a varios segmentos con mejoras en todos los ámbitos.

Panther Lake de Intel logra el encendido con un nodo de proceso de 18 A, el equipo de ingeniería ahora busca mejoras de IPC frente a los aumentos de velocidad del reloj

Durante su discurso de apertura, el CEO de Intel, Pat Gelsinsger, anunció que las CPU Panther Lake de próxima generación están en camino y han alcanzado el estado «Encendido», lo que es un hito crucial en el desarrollo de chips. Pat sostuvo una oblea de las CPU Panther Lake durante la conferencia magistral que utiliza el nodo de proceso 18A, lo que marca un paso importante desde el Lunar Lake basado en TSMC hasta el diseño interno de Panther Lake.

Hasta ahora, solo hemos visto rumores de que los núcleos de próxima generación para Panther Lake se conocerán como Cougar Cove en el P-Core y una versión mejorada de Skymont en el lado E-Core. Los que utiliza Clearwater Forest de próxima generación se llaman Darkmont. Si bien la compañía no entra en detalles sobre Panther Lake, el reciente Tech Tour nos brindó una idea de cómo Panther Lake marcará un cambio radical con respecto a la mentalidad de ingeniería existente.

Durante una sesión de preguntas y respuestas se reveló que Intel afirma que hay dos formas de fabricar chips: o se invierte en realizar cambios significativos en la arquitectura o simplemente se utiliza la alta frecuencia como modelo. Esto último es más difícil y requiere mucho más tiempo, por lo que, comenzando con Lunar Lake, Intel ha aprendido que será una decisión más inteligente simplemente seguir la primera ruta, que consiste en introducir cambios arquitectónicos para sus chips de próxima generación. El modelo de desarrollo de microarquitectura también aporta mucha más eficiencia energética, por lo que en futuros chips después de Lunar Lake, todo se centrará en ir tras IPC.

La estrategia de arquitectura ha sido centrarse en mejoras de instrucciones por ciclo, es decir, mejoras de microarquitectura. Por lo general, cuando está haciendo CPU, puede pensar en cuánto tiempo desea dedicar a la microarquitectura, lo que tiene muchos desafíos interesantes porque está observando diferentes canalizaciones, tamaños de caché, instrucciones como esta o simplemente pasó su tiempo en un gabinete hermético y si Si tienes una frecuencia realmente alta como modelo, lleva mucho más tiempo, por lo que hemos estado cambiando nuestro tiempo hacia la microarquitectura porque ahí es donde se obtiene mucha más eficiencia energética. Este es uno de nuestros primeros pasos importantes para Lion Cove, que es nuestra CPU aquí, Skymont E-Core, cada generación posterior es lo mismo, vaya tras IPC, IPC, IPC.

Intel

Intel también afirmó que Panther Lake será un diseño muy flexible y escalable. La flexibilidad que se está discutiendo tiene que ver con la memoria. Ha visto que Lunar Lake viene en versiones de chips en el paquete de 16 GB o 32 GB, pero con Panther Lake, veremos una ampliación en todos los ámbitos. Intel no especificó qué tipo de actualizaciones de memoria obtendremos, pero seguramente las obtendremos.

Panther Lake tiene mucha más flexibilidad de memoria, diferentes opciones de flexibilidad de memoria y podemos ampliarla, ya sea que diga un TDP típico de 15 W o más. Con Lunar Lake, queríamos tener el principal producto optimizado para dispositivos móviles para realmente obtener una arquitectura de productos en buena forma y luego escalarla de modo que el primer paso fuera obtener la arquitectura y el siguiente paso fuera escalarla. Y la escala incluiría mucha más flexibilidad para los puntos de diseño térmico y las tecnologías de memoria.

Para la próxima generación, nos tomaremos nuestro tiempo para ampliarlo porque admitiremos varios segmentos.

Intel

No solo eso, Intel ampliará enormemente las CPU Panther Lake para admitir varios segmentos. Panther Lake no será un Lunar Lake de segunda generación, llegará a todos los segmentos, incluidos los móviles y los ordenadores de sobremesa. Así que es genial escuchar eso y todo se debe a las lecciones aprendidas al diseñar Lunar Lake.

Se espera que las CPU Panther Lake de Intel entren en producción en el nodo de proceso 18A en la primera mitad de 2025 y la disponibilidad de los primeros chips está prevista para el segundo semestre de 2025. Por lo tanto, todavía queda algo de tiempo antes de que podamos ver esos chips en acción, pero Lunar Lake Mientras tanto, las CPU Arrow Lake ofrecerán un gran conjunto de innovaciones en sus respectivas plataformas.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago nuevo
Lago Pantera
Bosque de aguas claras
¿Rápidos de diamante?
Socio de fundición
lago lunar
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Rapaz
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-logra-el-encendido-de-panther-lake-en-el-nodo-crucial-18a-la-proxima-generacion-tiene-que-ver-con-ipc-y-panther-lake-admite-varios-segmentos-y-mas-flexibilidad-de-memoria/feed/ 0
Samsung prepara planes para un nodo de proceso de 1 nm que se presentará en julio y se espera la producción en masa para 2026 https://magazineoffice.com/samsung-prepara-planes-para-un-nodo-de-proceso-de-1-nm-que-se-presentara-en-julio-y-se-espera-la-produccion-en-masa-para-2026/ https://magazineoffice.com/samsung-prepara-planes-para-un-nodo-de-proceso-de-1-nm-que-se-presentara-en-julio-y-se-espera-la-produccion-en-masa-para-2026/#respond Thu, 30 May 2024 23:12:32 +0000 https://magazineoffice.com/samsung-prepara-planes-para-un-nodo-de-proceso-de-1-nm-que-se-presentara-en-julio-y-se-espera-la-produccion-en-masa-para-2026/

Samsung Foundry planea presentar su nodo de proceso de 1 nm de vanguardia el próximo mes, y se espera que la producción en masa esté prevista para 2026.

Samsung Foundry apunta a 2026 para la producción en masa de un nodo de proceso de 1 nm de próxima generación

La carrera de los semiconductores ha comenzado y se ha vuelto mucho más competitiva con los planes del gigante coreano para su tan esperado proceso de 1 nm. Mientras Intel y TSMC estaban ocupados con sus propias vitrinas de 1 nm, se habló del progreso de Samsung en los mercados, y quizás se tuvo la percepción de que Samsung Foundry se está quedando atrás; sin embargo, esto ciertamente no parece ser el caso, ya que Samsung ahora planea presentar su calendario de 1 nm en el Foundry Forum & SAFE Forum 2024, que se llevará a cabo en los EE. UU. del 12 al 13 de junio, informan los medios coreanos.

Curiosamente, Samsung ha decidido aumentar su cronograma de producción de 1 nm de 2027 a 2026, y con eso, el proceso «SF2» de 2 nm debutará en 2025, dado que todo sale según lo planeado. En términos de qué esperar del nodo de 1 nm de Samsung, todavía no se ha revelado mucha información al respecto; sin embargo, en general, el proceso de 1 nm se considera un gran avance en el ámbito de la informática y los semiconductores, y se espera que aporte una potencia y una eficiencia increíbles.

Un ingeniero de Samsung sostiene el primer lote de obleas GAA de 3 nm de la compañía

En términos de plazos de lanzamiento, el gigante coreano mira por delante de sus competidores en este momento. Intel Foundry planea producir en masa su proceso 10A (1 nm) para 2028, y TSMC ha planeado su proceso de 1 nm para 2030. Por lo tanto, Samsung parece estar años por delante en este momento. Dado que han retrasado su cronograma, será interesante ver qué tipo de compromiso pueden ofrecer con respecto a la calidad y el rendimiento del proceso.

Con eso, es seguro decir que la Ley de Moore todavía está en vigor. Sin embargo, no cuestionaremos su importancia en los tiempos modernos, principalmente porque centrarse en otros factores, como intensificar los avances arquitectónicos, ha generado enormes ganancias en el rendimiento. En la era de la informática con IA, la reducción de procesos ha desempeñado su papel, pero el progreso de otros factores también ha ganado más importancia en los mercados.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/samsung-prepara-planes-para-un-nodo-de-proceso-de-1-nm-que-se-presentara-en-julio-y-se-espera-la-produccion-en-masa-para-2026/feed/ 0
La CPU chiplet AmpereOne-3 contará con 256 núcleos en el nodo de 3 nm de TSMC con PCIe 6.0 y DDR5 y se lanzará el próximo año https://magazineoffice.com/la-cpu-chiplet-ampereone-3-contara-con-256-nucleos-en-el-nodo-de-3-nm-de-tsmc-con-pcie-6-0-y-ddr5-y-se-lanzara-el-proximo-ano/ https://magazineoffice.com/la-cpu-chiplet-ampereone-3-contara-con-256-nucleos-en-el-nodo-de-3-nm-de-tsmc-con-pcie-6-0-y-ddr5-y-se-lanzara-el-proximo-ano/#respond Sun, 28 Apr 2024 14:33:46 +0000 https://magazineoffice.com/la-cpu-chiplet-ampereone-3-contara-con-256-nucleos-en-el-nodo-de-3-nm-de-tsmc-con-pcie-6-0-y-ddr5-y-se-lanzara-el-proximo-ano/

Ampere Computing aprovechará el nodo de proceso de 3 nm de TSMC para su CPU AmpereOne-3, que ofrecerá hasta 256 núcleos, durante el próximo año.

La CPU AmpereOne-3 aprovecha la tecnología TSMC de 3 nm y chiplet para hasta 256 núcleos para centros de datos

Ampere Computing ha estado bien involucrada en los mercados, especialmente en el segmento de la nube, desde que la empresa ganó tracción en los mercados con su línea de procesadores centrados en la nube, que son conocidos por ser algunos de los más «cargados» que existen en términos de el recuento de núcleos a bordo. Los lanzamientos de la compañía incluyen procesadores como AmpereOne, que viene con 192 núcleos físicos, junto con un TDP de 350 W y ocho canales de memoria DDR5, compitiendo con procesadores como Xeon Scalable de Intel y EPYC de AMD. Sin embargo, la empresa ahora ha revelado planes para el futuro, revelando en su lugar nuevos SKU con especificaciones «sorprendentes».

The Next Platform informa que Ampere se está preparando para lanzar su segunda generación de procesadores AmpereOne. En declaraciones al medio de comunicación, el director de producto de la empresa, Jeff Wittich, reveló que se espera que el nuevo AmpereOne-2 llegue a finales de este año, con una arquitectura central «A2» mejorada, junto con 12 canales de memoria para garantizar la mejor calidad. actuación.

Combinando estas actualizaciones, Ampere cree que el AmpereOne-2 podrá incorporar un aumento del 33 % en los controladores de memoria DDR5 integrados, junto con un ancho de banda de memoria un 50 % mayor, lo que en consecuencia generará un aumento acumulativo en el rendimiento.

Fuente de la imagen: Ampere Computing

Además del AmpereOne-2, la compañía también planea lanzar la tercera generación de la línea para 2025 y, según lo que se ha revelado, las especificaciones son algo que no hemos visto mucho en los mercados. El AmpereOne-3, o la tercera generación, debutará con la friolera de 256 núcleos y contará con el proceso de 3 nanómetros de TSMC en una configuración de chiplet. Según se informa, la arquitectura incluirá PCIe-Express 6.0 y una docena de controladores de memoria DDR5. Con esto, Ampere planea competir con algunos de los principales contendientes de la industria, incluida NVIDIA.

Nos hemos estado moviendo bastante rápido en el lado de la computación. Este diseño incluye muchas otras características de la nube: aspectos relacionados con la gestión del rendimiento para aprovechar al máximo todos esos núcleos. En cada uno de los lanzamientos de chips, realizaremos lo que generalmente se considerarían cambios generacionales en el núcleo de la CPU.

También estamos adoptando un enfoque de chiplet con este diseño de 256 núcleos, que también es un paso más. Los chiplets son una parte bastante importante de nuestra estrategia general.

– Jeff Wittich a través de The Next Platform

No podemos comentar si Ampere Computing podría lograr atraer la misma atención que NVIDIA en los segmentos de IA. Aun así, según lo que la empresa ha planeado, sin duda desempeñarán un papel relativamente dominante en el futuro.

Fuente de noticias: La próxima plataforma

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/la-cpu-chiplet-ampereone-3-contara-con-256-nucleos-en-el-nodo-de-3-nm-de-tsmc-con-pcie-6-0-y-ddr5-y-se-lanzara-el-proximo-ano/feed/ 0
Se informa que el iPhone 17 se perderá el proceso de vanguardia de 2 nm de TSMC, y el A19 Pro probablemente usará un nodo de 3 nm más avanzado https://magazineoffice.com/se-informa-que-el-iphone-17-se-perdera-el-proceso-de-vanguardia-de-2-nm-de-tsmc-y-el-a19-pro-probablemente-usara-un-nodo-de-3-nm-mas-avanzado/ https://magazineoffice.com/se-informa-que-el-iphone-17-se-perdera-el-proceso-de-vanguardia-de-2-nm-de-tsmc-y-el-a19-pro-probablemente-usara-un-nodo-de-3-nm-mas-avanzado/#respond Tue, 16 Apr 2024 04:18:54 +0000 https://magazineoffice.com/se-informa-que-el-iphone-17-se-perdera-el-proceso-de-vanguardia-de-2-nm-de-tsmc-y-el-a19-pro-probablemente-usara-un-nodo-de-3-nm-mas-avanzado/

Actualmente, Apple aprovecha el proceso de 3 nm de TSMC para varios de sus conjuntos de chips, y es posible que la empresa se ciña a este proceso de fabricación durante algunas generaciones de iPhone. Según el último informe, el iPhone 17 no será la primera línea en lucir un SoC de la serie A fabricado en el nodo ultraavanzado de 2 nm. Esto significará que el A19 Pro que se espera para 2025 conservará la litografía de 3 nm, pero no será la misma tecnología, como pronto descubrirás.

Se espera que Apple utilice el proceso ‘N3P’ de 3 nm de TSMC para el A19 Pro, que probablemente se encontrará en el iPhone 17 Pro y el iPhone 17 Pro Max.

Mientras que, según se informa, TSMC se centra en aumentar su producción de obleas de 3 nm a 100.000 unidades para finales de 2024, TrendForce afirma que el gigante taiwanés también desea ampliar sus perspectivas para el proceso de 2 nm. Como tal, se menciona que la planta de 2 nm en Baoshan de Hsinchu está avanzando de manera constante como se esperaba, y otra instalación en Kaohsiung también está ganando impulso. La primera instalación se espera para finales de año, y se dice que la capacidad inicial de ambas plantas es de entre 30.000 y 35.000 obleas.

El informe menciona que para 2027, la capacidad combinada podría alcanzar las 100.000 obleas. En cuanto a quién será el primer cliente de TSMC en obtener los lotes iniciales de chips de 2 nm, probablemente será Apple. En junio de 2023, informamos que ya había comenzado la producción de prueba del nodo de última generación. Sin embargo, la firma de Cupertino no utilizará la tecnología tan pronto, ya que se dice que el iPhone 16 Pro y el iPhone 16 Pro Max se enviarán exclusivamente con el A18 Pro, el primer SoC de 3 nm de Apple producido en masa en el proceso ‘N3E’ de segunda generación de TSMC.

Sin embargo, incluso el próximo año, con el lanzamiento del iPhone 17, el A19 Pro que alimenta sus entrañas podría utilizar una versión más avanzada de la tecnología de 3 nm de TSMC llamada ‘N3P’. En 2026, cuando Apple presente la familia iPhone 18, puede que presente su primer silicio de 2 nm, pero varios factores determinarán su materialización.

“En el panorama de clientes de 2 nm, Apple sigue siendo líder y destina la tecnología a los teléfonos inteligentes emblemáticos. Intel también ha expresado interés, y se espera que AMD, NVIDIA y MediaTek sigan su ejemplo.

Si analizamos la hoja de ruta del proceso, el iPhone 16 de este año utilizará N3E, mientras que el modelo del próximo año adoptará N3P. Por lo tanto, se prevé que el primer producto de consumo que aprovecha el proceso de 2 nm de TSMC se lance en 2026”.

Anteriormente se informó que Apple lanzaría su primer SoC de 2 nm ya en 2026, pero una vez más, es demasiado pronto para comentar sobre los planes de la compañía para el futuro. Como se indicó anteriormente, varias razones pueden descarrilar el progreso de su chipset de 2 nm, obligándolo a seguir con la tecnología de 3 nm de generación anterior. Por ahora, trate esta información con cautela y nos comunicaremos con usted con más actualizaciones.

Fuente de noticias: TrendForce

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/se-informa-que-el-iphone-17-se-perdera-el-proceso-de-vanguardia-de-2-nm-de-tsmc-y-el-a19-pro-probablemente-usara-un-nodo-de-3-nm-mas-avanzado/feed/ 0
AMD actualizará la serie Instinct MI300 con el acelerador de IA MI350 utilizando un nodo de 4 nm este año https://magazineoffice.com/amd-actualizara-la-serie-instinct-mi300-con-el-acelerador-de-ia-mi350-utilizando-un-nodo-de-4-nm-este-ano/ https://magazineoffice.com/amd-actualizara-la-serie-instinct-mi300-con-el-acelerador-de-ia-mi350-utilizando-un-nodo-de-4-nm-este-ano/#respond Fri, 12 Apr 2024 02:19:13 +0000 https://magazineoffice.com/amd-actualizara-la-serie-instinct-mi300-con-el-acelerador-de-ia-mi350-utilizando-un-nodo-de-4-nm-este-ano/

AMD parece estar preparando una actualización de 4 nm de sus aceleradores de IA MI300, conocidos como MI350, que está prevista para finales de este año.

El acelerador AMD MI350 AI contará con una arquitectura actualizada de 4 nm y se lanzará más adelante este año

La línea actual MI300 de AMD consta de los aceleradores MI300X optimizados para IA y MI300A optimizados para computación, pero parece que la compañía está planeando expandir su cartera. Recientemente vimos la aparición del MI388X, que podría ser una variante compatible con las exportaciones para China, pero AMD declaró que se le impidió realizar envíos. El MI388X probablemente sería otra oferta de CDNA 3 que utilizaría una tecnología de proceso de 5 nm y 6 nm, pero parece que AMD tiene planeada una actualización adecuada para su familia Instinct para finales de este año.

Según un informe de TrendForce, parece que AMD podría estar lanzando una nueva pieza conocida como Instinct MI350 que utilizará una arquitectura CDNA 3 actualizada utilizando el nodo de proceso de 4 nm de TSMC. Si bien los detalles sobre el Instinct MI350 son escasos, recientemente la propia AMD se burló de que ofrecerán capacidades HBM3E más altas en futuras actualizaciones de la serie Instinct MI300. Por lo tanto, mayores capacidades de HBM junto con una arquitectura optimizada en el nodo de 4 nm pueden generar ganancias decentes.

Además, TrendForce señala que la extensión de los controles de exportación ahora incluye no solo los chips AI previamente restringidos de NVIDIA y AMD, como las series NVIDIA A100/H100, AMD MI250/300, NVIDIA A800, H800, L40, L40S y RTX4090, pero también sus sucesores de próxima generación, como las series H200, B100, B200, GB200 y MI350 de AMD. En respuesta, los fabricantes de HPC han desarrollado rápidamente productos que cumplen con los nuevos estándares TPP y PD, como el H20/L20/L2 ajustado de NVIDIA, que siguen siendo elegibles para la exportación.

fuerza de tendencia

Videocardz también pudo detectar una lista de AMD Singapur que confirma la línea de aceleradores Instinct MI350. El producto ya ha sido enviado para preparación y optimización del silicio.

Fuente de la imagen: AMD Singapur

Cabe recordar que AMD competirá contra NVIDIA e Intel en el espacio de la IA. Las GPU Blackwell B100 están en producción y B100/B200 se lanzarán a los clientes pronto. Mientras tanto, Intel también anunció sus aceleradores Gaudi 3 que ofrecen computación de IA hasta un 50% más rápida en comparación con las GPU NVIDIA H100. Entonces el espacio se está calentando. En los puntos de referencia recientes de MLPerf, NVIDIA e Intel fueron los únicos que presentaron sus puntos de referencia de rendimiento de IA, mientras que AMD perdió el centro de atención al no enviar ningún número.

TrendForce también ha compartido la lista completa de productos afectados por la última versión de los controles de exportación de Estados Unidos contra China. Estos incluyen varias GPU actuales y futuras, incluidas las series Instinct MI388X y MI350 de AMD.

Productos controlados de exportación de EE. UU. (Restringidos para China / A partir del 29 de marzo):

Proveedor Producto Proceso tecnológico Fecha de lanzamiento
Nvidia GB200 4 nm (TSMC) 2S 2024
Nvidia B200 4 nm (TSMC) 2S 2024
Nvidia B100 4 nm (TSMC) 2S 2024
Nvidia H200 4 nm (TSMC) 11/2023
Nvidia H100 4 nm (TSMC) 03/2022
Nvidia H800 4 nm (TSMC) 03/2022
Nvidia L40/L40S 5 nm (TSMC) 10/2022
Nvidia RTX 4090 5 nm (TSMC) 10/2022
Nvidia A100 7 nm (TSMC) 05/2020
Nvidia A800 7 nm (TSMC) 05/2020
AMD MI250 6 nm (TSMC) 11/2021
AMD MI250X 6 nm (TSMC) 11/2021
AMD MI300/MI309 5 nm (TSMC) 11/2021
AMD MI300X/MI388X 5 nm/6 nm (TSMC) 12/2023
AMD MI350 4 nm (TSMC) 2S 2024

AMD también ha confirmado su acelerador de IA MI400 de próxima generación, que debería lanzarse en 2025 y presentar una arquitectura más capaz y adaptada a la era de la IA. AMD también está trabajando en su paquete de software ROCm y ha hecho que ciertos bloques sean de código abierto para ajustar su rendimiento para cargas de trabajo de IA.

Aceleradores AMD Radeon Instinct

Nombre del acelerador AMD Instinto MI400 AMD Instinto MI350X AMD Instinto MI300X AMD Instinto MI300A AMD Instinto MI250X AMD Instinto MI250 AMD Instinto MI210 AMD Instinto MI100 AMD Radeon Instinto MI60 AMD Radeon Instinto MI50 AMD Radeon Instinto MI25 AMD Radeon Instinto MI8 AMD Radeon Instinto MI6
Arquitectura de CPU Zen 5 (APU exaescala) N / A N / A Zen 4 (APU exaescala) N / A N / A N / A N / A N / A N / A N / A N / A N / A
Arquitectura de GPU ADNC 4 ¿CDN 3+? Aqua Vanjaram (CDNA 3) Aqua Vanjaram (CDNA 3) Aldebarán (CDNA 2) Aldebarán (CDNA 2) Aldebarán (CDNA 2) Arcturus (CDNA 1) Vega 20 Vega 20 Vega 10 Fiyi XT Polaris 10
Nodo de proceso GPU 4nm 4nm 5nm+6nm 5nm+6nm 6nm 6nm 6nm FinFET de 7 nm FinFET de 7 nm FinFET de 7 nm FinFET de 14 nm 28nm FinFET de 14 nm
Chiplets de GPU Por determinar Por determinar 8 (MCM) 8 (MCM) 2 (MCM)
1 (por troquel)
2 (MCM)
1 (por troquel)
2 (MCM)
1 (por troquel)
1 (monolítico) 1 (monolítico) 1 (monolítico) 1 (monolítico) 1 (monolítico) 1 (monolítico)
Núcleos de GPU Por determinar Por determinar 19.456 14.592 14.080 13.312 6656 7680 4096 3840 4096 4096 2304
Velocidad de reloj de la GPU Por determinar Por determinar 2100MHz 2100MHz 1700MHz 1700MHz 1700MHz 1500MHz 1800MHz 1725MHz 1500MHz 1000MHz 1237MHz
Computación INT8 Por determinar Por determinar 2614 TOPS 1961 TOPS 383 TOP 362 TOPS 181 TOPS 92.3 TOPS N / A N / A N / A N / A N / A
Computación FP16 Por determinar Por determinar 1.3 PFLOP 980,6 TFLOP 383 TFLOP 362 TFLOP 181 TFLOP 185 TFLOP 29,5 TFLOP 26,5 TFLOP 24,6 TFLOP 8.2 TFLOP 5.7 TFLOP
Computación FP32 Por determinar Por determinar 163,4 TFLOP 122,6 TFLOP 95,7 TFLOP 90,5 TFLOP 45,3 TFLOP 23.1 TFLOP 14,7 TFLOP 13.3 TFLOP 12.3 TFLOP 8.2 TFLOP 5.7 TFLOP
Computación FP64 Por determinar Por determinar 81,7 TFLOP 61,3 TFLOP 47,9 TFLOP 45,3 TFLOP 22,6 TFLOP 11,5 TFLOP 7.4 TFLOP 6.6 TFLOP 768 GFLOP 512 GFLOP 384 GFLOP
VRAM Por determinar HBM3e 192GB HBM3 128GB HBM3 128GB HBM2e 128GB HBM2e 64GB HBM2e 32GB HBM2 32GB HBM2 16GB HBM2 16GB HBM2 4GB HBM1 16GB GDDR5
Caché infinito Por determinar Por determinar 256 megas 256 megas N / A N / A N / A N / A N / A N / A N / A N / A N / A
Reloj de la memoria Por determinar Por determinar 5,2 Gbit/s 5,2 Gbit/s 3,2 Gbps 3,2 Gbps 3,2 Gbps 1200MHz 1000MHz 1000MHz 945MHz 500MHz 1750MHz
Autobús de memoria Por determinar Por determinar 8192 bits 8192 bits 8192 bits 8192 bits 4096 bits autobús de 4096 bits autobús de 4096 bits autobús de 4096 bits autobús de 2048 bits autobús de 4096 bits autobús de 256 bits
ancho de banda de memoria Por determinar Por determinar 5,3 TB/s 5,3 TB/s 3,2 TB/s 3,2 TB/s 1,6 TB/s 1,23 TB/s 1TB/s 1TB/s 484GB/s 512GB/s 224GB/s
Factor de forma Por determinar Por determinar OAM Zócalo APU SH5 OAM OAM Tarjeta de doble ranura Ranura doble, longitud completa Ranura doble, longitud completa Ranura doble, longitud completa Ranura doble, longitud completa Ranura doble, longitud media Ranura única, longitud completa
Enfriamiento Por determinar Por determinar Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo Enfriamiento pasivo
TDP (máx.) Por determinar Por determinar 750W 760W 560W 500W 300W 300W 300W 300W 300W 175W 150W

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/amd-actualizara-la-serie-instinct-mi300-con-el-acelerador-de-ia-mi350-utilizando-un-nodo-de-4-nm-este-ano/feed/ 0
Las GPU para juegos NVIDIA Blackwell GB202 utilizarán el nodo TSMC 4NP y mejorarán significativamente el rendimiento de caché y SM https://magazineoffice.com/las-gpu-para-juegos-nvidia-blackwell-gb202-utilizaran-el-nodo-tsmc-4np-y-mejoraran-significativamente-el-rendimiento-de-cache-y-sm/ https://magazineoffice.com/las-gpu-para-juegos-nvidia-blackwell-gb202-utilizaran-el-nodo-tsmc-4np-y-mejoraran-significativamente-el-rendimiento-de-cache-y-sm/#respond Wed, 20 Mar 2024 00:42:18 +0000 https://magazineoffice.com/las-gpu-para-juegos-nvidia-blackwell-gb202-utilizaran-el-nodo-tsmc-4np-y-mejoraran-significativamente-el-rendimiento-de-cache-y-sm/

NVIDIA acaba de anunciar sus GPU Blackwell para IA y ahora todos los ojos están puestos en sus piezas de juego, que se rumorea que cuentan con el mismo nodo TSMC 4NP.

Las GPU NVIDIA Blackwell AI Tensor Core y Gaming podrían compartir el mismo nodo de proceso TSMC 4NP, se esperan grandes mejoras de caché y rendimiento

Anteriormente se esperaba que NVIDIA aprovechara el nodo de proceso TSMC de 3 nm para el chip de juegos, pero ese plan aparentemente ha cambiado a medida que avanza. Kopite7kimi ahora indica que tanto Blackwell AI Tensor Core como las GPU para juegos se fabricarán en un nodo de proceso muy similar. Hace apenas unas horas supimos que NVIDIA utilizará el nodo 4NP de TSMC, una variación del nodo de 5 nm que ya se usaba para las GPU Ada Lovelace y Hopper.

Se afirma que el nuevo nodo de proceso permitirá un aumento del 30% en la densidad del transistor, lo que puede conducir a mayores ganancias de rendimiento, pero las ventajas reales de eficiencia aún no se han explicado. TSMC no indica explícitamente el nodo del proceso 4NP en ninguna parte de su página web. Solo mencionan N4P &, que también se menciona como una extensión de la plataforma N5 con un aumento de rendimiento del 11 % sobre N5 y un aumento del 6 % sobre N4.

Sabemos que el nodo de proceso 4N utilizado anteriormente para las GPU Ada era simplemente N5 (5 nm) disfrazado con algunas optimizaciones exclusivas de NVIDIA. NVIDIA también reveló que ha trabajado con TSMC y Synopsys para aprovechar la revolucionaria tecnología CuLitho para garantizar que la producción y fabricación de estas GPU Blackwell AI Tensor & Gaming de nueva generación se realicen sin problemas para que puedan entregarse a los clientes a tiempo. .

Además del nodo de proceso, también se espera que NVIDIA proporcione grandes mejoras en el lado de la caché L1. Se afirma que GB202, la GPU insignia de Blackwell Gaming, tendrá mejoras significativas en comparación con AD102 y GA102, lo que permitirá aumentar el rendimiento de SM. Kopite7kimi también arrojó algo de luz sobre la configuración de la GPU para juegos Blackwell GB202 anteriormente.

Afirmó que el chip ofrecerá 12 GPC, cada uno con 8 TPC para un total de 96 TPC y si tomamos en cuenta la estructura de Ada, podemos esperar hasta 192 SM o 24,567 núcleos CUDA asumiendo que habrá 128 FP32. núcleos por SM. Serán un 33% más de núcleos CUDA que la GPU AD102 completa que hasta ahora no se ha lanzado.

Especificaciones de la GPU NVIDIA Blackwell ‘GB202’ ‘preliminares’:

Nombre de la GPU GB202 AD102
GPC 12 (por GPU)? 12 (por GPU)
TPC 8 (por GPC)? 6 (por GPC)
SM 2 (por TPC)? 2 (por TPC)
Total de SM 192? 144
Subnúcleo Por determinar 4 (por SM)
FP32 128 (por SM)? 128 (por SM)
FP32+INT32 Por determinar 128 (por SM)
Núcleos CUDA 24.567? 18.432
Deformaciones Por determinar 64 (por SM)
Hilos Por determinar 2048 (por SM)
Caché L1 Por determinar 192 KB (por SM)
Caché L2 Por determinar 96 MB (por GPU)
ROP Por determinar 32 (por GPC)
Estándar de memoria GDDR7 GDDR6X
Bus de memoria máxima 512 bits 384 bits
Límite máximo de memoria ¿48 GB? 24GB

También menciona que la GPU GB203, la siguiente en la línea de GPU Blackwell Gaming, será la mitad de la GB202, similar a las GPU AD102 y AD103. Esto conducirá a una enorme disparidad en el rendimiento si NVIDIA equipa las próximas tarjetas de la serie 90 con GB202 y las tarjetas de la serie 80 con GB203. La pregunta más importante es si NVIDIA utilizará el paquete MCM (Multi-Chip-Module) para sus GPU Blackwell Gaming o las mantendrá monolíticas por ahora. Dados los crecientes costos y los problemas de rendimiento asociados con el desarrollo de GPU/chips, la ruta de los chiplets es de hecho el camino del futuro y la división Radeon de AMD ya la ha adoptado.

Las GPU Blackwell Gaming de NVIDIA se lanzarán bajo la familia GeForce RTX 50 con el soporte de tecnologías de próxima generación como la memoria GDDR7, DisplayPort 2.1 y más. Podemos esperar escuchar más sobre ellos a finales de este año.

SKU de GPU NVIDIA GeForce:

Generación Blackwell Ada Lovelace Amperio Turing Pascal
Nodo de proceso ¿TSMC de 3 nm? TSMC 5nm Samsung 8nm TSMC 12nm TSMC 16nm
Año de lanzamiento 2024 2022 2020 2018 2016
SKU ultra entusiasta GB202 AD102 GA102 TU102 GP102
SKU entusiasta GB203 AD103 GA102 TU104 GP104
SKU de alta gama GB205 AD104 GA104 TU106 GP104
SKU principal GB206 AD106 GA106 TU106 GP106
SKU de nivel básico GB207 AD107 GA107 TU116/117 GP107

¿Qué quiero ver en la serie RTX 50 de próxima generación de NVIDIA?

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/las-gpu-para-juegos-nvidia-blackwell-gb202-utilizaran-el-nodo-tsmc-4np-y-mejoraran-significativamente-el-rendimiento-de-cache-y-sm/feed/ 0
El nodo de proceso 14A de próxima generación de Intel ofrece un rendimiento por vatio un 15% mayor que el 18A, el 14A-E añade otro aumento del 5% https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/ https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/#respond Tue, 12 Mar 2024 04:14:04 +0000 https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/

Intel ha revelado detalles adicionales sobre sus nodos de proceso 14A y 14A-E de próxima generación que ofrecen mejoras sustanciales de rendimiento y eficiencia con respecto al 18A.

El nodo de proceso Intel 14A cuenta con una ventaja de eficiencia superior al 15 % respecto al 18 A y mejora la integración del chip en un 20 %

Intel agregó recientemente varios nodos de proceso nuevos a su hoja de ruta, que incluyen 14A y 10A. Este último fue mencionado brevemente por el equipo azul durante su evento IFS Direct 2024 y su producción estará prevista más allá de 2028. Mientras tanto, la compañía ha posicionado su nodo 14A para que esté listo para la producción en 2026, mientras que la variante avanzada 14A-E estará listo para la producción en 2027. Hasta ahora, solo se menciona que los nodos 14A serán los primeros en utilizar la tecnología High-NA EUV, pero parece que Intel ha derramado granos adicionales en este nodo de proceso de próxima generación.

Fuente de la imagen: Intel

Durante la conferencia SPIE 2024, Ann Kelleher (vicepresidenta ejecutiva y gerente general de Desarrollo de Tecnología de Fundición en Intel) agregó además que el nodo de proceso 14A ofrecerá una ventaja de rendimiento por vatio de más del 15% sobre el nodo 18A, al tiempo que permitirá un aumento del 20% en la Proceso de integración de chips. Mientras tanto, el nodo de proceso Intel 14A-E agregará otro 5% más que el nodo de proceso 14A en términos de rendimiento.

Intel aún no ha anunciado ningún producto basado en el nodo de proceso 14A y sus subvariantes, pero parece que la tecnología desempeñará un papel crucial en los objetivos de Intel de convertirse en la segunda fábrica más grande del mundo, superando a Samsung y acercándose a TSMC. Si bien Intel ve a TSMC como un competidor, también utiliza a TSMC para cumplir con su suministro de chips para la gran mayoría de las CPU de sus clientes. TSMC creará varios bloques de IP para sus familias de CPU de clientes de próxima generación y más. Por ejemplo:

  • Lago Flecha Intel: 20A (mosaico de CPU) / TSMC N3 (mosaico de GPU)
  • Lago Lunar Intel: ¿20A? (mosaico de CPU) / TSMC N3B (mosaico de GPU)

El 20A de Intel aún no se ha visto en los estantes y se espera que sus primeros productos se lancen a finales de este año en forma de Arrow Lake y Lunar Lake. Estos seguirán al lanzamiento de los productos 18A que cubren principalmente el plan de lanzamiento 2025-2026, por lo que podemos esperar 14A alrededor de 2026-2027, que aún faltan algunos años. Espere más información sobre estos procesos de próxima generación y sus respectivos productos en los próximos eventos.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago nuevo
Lago Pantera
Bosque de aguas claras
¿Rápidos de diamante?
Socio de fundición
lago lunar
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Fuente de noticias: SeDaily

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/el-nodo-de-proceso-14a-de-proxima-generacion-de-intel-ofrece-un-rendimiento-por-vatio-un-15-mayor-que-el-18a-el-14a-e-anade-otro-aumento-del-5/feed/ 0
El proceso de vanguardia de 2 nm de Samsung podría ser simplemente el nodo de 3 nm de segunda generación del gigante coreano con un nombre cambiado https://magazineoffice.com/el-proceso-de-vanguardia-de-2-nm-de-samsung-podria-ser-simplemente-el-nodo-de-3-nm-de-segunda-generacion-del-gigante-coreano-con-un-nombre-cambiado/ https://magazineoffice.com/el-proceso-de-vanguardia-de-2-nm-de-samsung-podria-ser-simplemente-el-nodo-de-3-nm-de-segunda-generacion-del-gigante-coreano-con-un-nombre-cambiado/#respond Tue, 05 Mar 2024 18:32:53 +0000 https://magazineoffice.com/el-proceso-de-vanguardia-de-2-nm-de-samsung-podria-ser-simplemente-el-nodo-de-3-nm-de-segunda-generacion-del-gigante-coreano-con-un-nombre-cambiado/

Se ha informado en algunas ocasiones que Samsung está teniendo problemas con sus rendimientos GAA de 3 nm, y si bien el movimiento lógico podría haber sido encontrar formas de mejorar ese porcentaje, la fundición coreana está dando un salto monumental hacia la producción en masa de su nodo de 2 nm. Sin embargo, según una actualización, la compañía en realidad no se dedica a la producción de obleas de 2 nm, sino que ha etiquetado su tecnología de 3 nm de segunda generación con el mismo nombre. Naturalmente, esto puede causar confusión a los clientes de Samsung y hacerles creer erróneamente que están recibiendo obleas en un proceso de fabricación de última generación.

También se confirma que el primer pedido de 2 nm de Samsung suministrado a una startup japonesa es su envío de 3 nm de segunda generación.

Un funcionario anónimo procedente de la industria de semiconductores sin fábrica informó a ZDNet que el cambio de nombre está confirmado y también mencionó que el contrato se redactó recientemente.

“Samsung Electronics nos ha informado que cambiarán la tecnología de 3 nano de segunda generación por tecnología de 2 nano. El año pasado, el contrato firmado en Samsung Electronics Foundry para la tecnología 3-nano de segunda generación también pasó a llamarse tecnología 2-nano, y el contrato se reescribió recientemente”.

Samsung también completó recientemente un pedido de 2 nm para una startup japonesa llamada Preferred Networks (PFN). Si bien se suponía que el gigante coreano tenía ventaja sobre TSMC en la carrera de los 2 nm, el último informe afirma que el pedido completado era en realidad un envío de obleas de 3 nm de segunda generación del fabricante. No está claro si PFN está al tanto de este cambio de nombre, pero si no es así, Samsung podría dañar seriamente su buena voluntad.

Qualcomm también solicitó recientemente a Samsung y TSMC que proporcionaran sus muestras de 2 nm, probablemente para el próximo Snapdragon 8 Gen 5, pero es posible que el fabricante del chipset esté evaluando un SoC de 3 nm y no uno de 2 nm. El funcionario de la industria también dijo que el cambio de nombre se ejecutó porque el tamaño del transistor se redujo mediante optimizaciones. Si bien afirma que Samsung podría haber optado por este cambio simplemente debido a un cambio en la estrategia de marketing, el verdadero peso lo tiene el resultado real de la tecnología.

Fuente de noticias: ZDNet

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/el-proceso-de-vanguardia-de-2-nm-de-samsung-podria-ser-simplemente-el-nodo-de-3-nm-de-segunda-generacion-del-gigante-coreano-con-un-nombre-cambiado/feed/ 0
Intel anuncia un nuevo nodo 14A en IFS Direct Connect 2024, y su agresiva hoja de ruta de ‘cinco nodos en cuatro años’ sigue en marcha https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/ https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/#respond Thu, 22 Feb 2024 05:23:22 +0000 https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/

Intel celebró hoy su evento inaugural Intel Foundry Services Direct Connect. Básicamente, marca el lanzamiento de la nueva estrategia de fabricación de Intel, que reúne todas las soluciones de fabricación, diseño de sistemas, empaquetado y conectividad de sus clientes bajo un mismo paraguas.

Durante el evento, Intel describió su nueva hoja de ruta de procesos que incluyó el anuncio de su nodo 14A. Se estima que llegará al mercado en 2026 o 2027 y marca el primer nodo que utiliza la litografía High-NA. Se espera que incorpore entrega de energía trasera PowerVia y transistores RibbonFET GAA. Basta decir que esto es algo de última generación.



Source link-8

]]>
https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/feed/ 0
Intel agrega el nodo de proceso 14A a su hoja de ruta, las actualizaciones de los nodos 18A e Intel 3 se presentan en IFS Direct https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/ https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/#respond Thu, 22 Feb 2024 05:04:54 +0000 https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/

Intel ha presentado su nueva hoja de ruta para sus nodos de proceso de próxima generación en IFS Direct, que ahora incluye 14A y actualizaciones en nodos ya anunciados.

La hoja de ruta del proceso Intel 2027 presenta los nodos 14A, 14A-E, 18A-P, 3E y 3-PT para semiconductores de próxima generación

Presione soltar: Intel Corp. (INTC) lanzó hoy Intel Foundry como una empresa de fundición de sistemas más sostenible diseñada para la era de la IA y anunció una hoja de ruta de proceso ampliada diseñada para establecer el liderazgo en la última parte de esta década.

La compañía también destacó el impulso de los clientes y el apoyo de los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, quienes describieron su disposición para acelerar los diseños de chips de los clientes de Intel Foundry con herramientas, flujos de diseño y carteras de IP validadas para el paquete avanzado de Intel, e Intel. Tecnologías de proceso 18A.

Los anuncios se realizaron en el primer evento Foundry de Intel, Foundry Direct Connect, donde la compañía reunió a clientes, empresas del ecosistema y líderes de toda la industria. Entre los participantes y oradores se encontraban la secretaria de Comercio de EE. UU., Gina Raimondo, el director ejecutivo de Arm, Rene Haas, el director ejecutivo de Microsoft, Satya Nadella, el director ejecutivo de OpenAI, Sam Altman, y otros.

Los aspectos más destacados de estos anuncios se enumeran a continuación:

  • Intel Foundry se lanza como la primera fundición de sistemas del mundo para la era de la IA, ofreciendo liderazgo en tecnología, resiliencia y sostenibilidad.
  • Intel Foundry presenta una nueva hoja de ruta que incluye tecnología de proceso 14A, evoluciones de nodos especializados y nuevas capacidades de prueba y ensamblaje de sistemas avanzados (ASAT) de Foundry para ayudar a los clientes a hacer realidad sus ambiciones de IA.
  • Intel Foundry anuncia victoria en el diseño: el director ejecutivo de Microsoft, Satya Nadella, comparte que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.
  • Los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, anuncian herramientas validadas, flujos de diseño y carteras de propiedad intelectual (IP) listas para habilitar los diseños de los clientes.

[Editor’s Note] Con su última hoja de ruta de nodos de proceso, Intel está presentando nuevas incorporaciones a su hoja de ruta de procesos que ahora incluyen subvariantes de cada nodo con los sufijos «E», «P» y «T». Todos estos sufijos representan una cierta extensión del conjunto de funciones, el rendimiento o la tecnología de empaquetado. La «P» para modelos como 18A-P y 3-PT representa un mayor rendimiento con hasta un 10% de ganancias sobre su variante estándar, mientras que la «T» representa el uso de TSV o Through-Silicon Vias que formarán parte del 3D. Tecnología Foveros Direct. Las subvariantes «E» representan una extensión del nodo clásico que se dirigirá a clientes específicos.

Además, la compañía también reveló que ya ha grabado sus CPU Clearwater Forest Xeon E-Core de próxima generación, mientras que 18A está listo para el diseño completo del producto en el segundo trimestre de 2024. Chipzilla también confirmó algunos datos sobre Clearwater Forest que hemos estado escuchando. durante las últimas semanas. Utilizará varias tecnologías como:

  • PowerVía
  • CintaFET
  • Troquel básico Intel 3
  • EMIB
  • Apilamiento 3D directo de Foveros

La hoja de ruta del proceso se expande más allá del 5N4Y

La hoja de ruta de tecnología de procesos extendida de Intel agrega 14A al plan de nodos de vanguardia de la compañía, además de varias evoluciones de nodos especializados. Intel también afirmó que su ambiciosa hoja de ruta de proceso de cinco nodos en cuatro años (5N4Y) sigue en marcha y ofrecerá la primera solución de energía trasera de la industria. Los líderes de la empresa esperan que Intel recupere el liderazgo en procesos con Intel 18A en 2025.

Una foto muestra una herramienta DMX de recogida y colocación para el apilamiento de la tecnología de embalaje Foveros en una fábrica de Intel en Oregón en diciembre de 2023.

La nueva hoja de ruta incluye evoluciones para tecnologías de procesos 3, 18A y 14A. Incluye 3-T, que está optimizado con vías de silicio para diseños de envases avanzados en 3D y pronto estará listo para su fabricación.

También se destacan los nodos de proceso maduros, incluidos los nuevos nodos de 12 nanómetros que se esperan mediante el desarrollo conjunto con UMC anunciado el mes pasado. Estas evoluciones están diseñadas para permitir a los clientes desarrollar y entregar productos adaptados a sus necesidades específicas. Intel Foundry planifica un nuevo nodo cada dos años y evoluciones de nodo a lo largo del camino, brindando a los clientes un camino para evolucionar continuamente sus ofertas en la tecnología de procesos líder de Intel.

Intel también anunció la incorporación de Foundry FCBGA 2D+ a su conjunto integral de ofertas ASAT, que ya incluyen FCBGA 2D, EMIB, Foveros y Foveros Direct.

Microsoft Design en 18A encabeza el impulso de los clientes

Los clientes respaldan el enfoque de fundición de sistemas a largo plazo de Intel. Durante el discurso de apertura de Pat Gelsinger, el presidente y director ejecutivo de Microsoft, Satya Nadella, afirmó que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.

«Estamos en medio de un cambio de plataforma muy interesante que transformará fundamentalmente la productividad de cada organización individual y de toda la industria», dijo Nadella. “Para lograr esta visión, necesitamos un suministro confiable de los semiconductores más avanzados, de alto rendimiento y de alta calidad. Es por eso que estamos tan emocionados de trabajar con Intel Foundry y por eso hemos elegido un diseño de chip que planeamos producir en el proceso Intel 18A”.

Intel Foundry tiene logros en diseño en todas las generaciones de procesos de fundición, incluidas 18A, 16 y 3, junto con un importante volumen de clientes en capacidades ASAT de Foundry, incluido el empaquetado avanzado.

Un empleado de fabricación muestra un sistema de prueba en chip construido sobre un sustrato de vidrio en una fábrica de Intel en Chandler, Arizona.

En total, en obleas y embalajes avanzados, el valor esperado del acuerdo de por vida de Intel Foundry es superior a 15 mil millones de dólares.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago lunar
lago nuevo
¿Rápidos de diamante?
Socio de fundición
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/feed/ 0