nodos – Magazine Office https://magazineoffice.com Vida sana, belleza, familia y artículos de actualidad. Fri, 24 May 2024 05:33:15 +0000 es hourly 1 https://wordpress.org/?v=6.5.3 El lanzamiento tardío de Nintendo Switch 2 está dejando a la gente de NVIDIA confundida; La reducción de nodos podría aumentar el rendimiento a 4,5 teraflops https://magazineoffice.com/el-lanzamiento-tardio-de-nintendo-switch-2-esta-dejando-a-la-gente-de-nvidia-confundida-la-reduccion-de-nodos-podria-aumentar-el-rendimiento-a-45-teraflops/ https://magazineoffice.com/el-lanzamiento-tardio-de-nintendo-switch-2-esta-dejando-a-la-gente-de-nvidia-confundida-la-reduccion-de-nodos-podria-aumentar-el-rendimiento-a-45-teraflops/#respond Fri, 24 May 2024 05:33:12 +0000 https://magazineoffice.com/el-lanzamiento-tardio-de-nintendo-switch-2-esta-dejando-a-la-gente-de-nvidia-confundida-la-reduccion-de-nodos-podria-aumentar-el-rendimiento-a-45-teraflops/

Es posible que el lanzamiento tardío de Nintendo Switch 2 haya traído algún tipo de rediseño de hardware que puede afectar el rendimiento de la consola.

En el último episodio del podcast Moore’s Law is Dead Broken Silicon, se reveló cómo algunas personas en NVIDIA están confundidas porque la próxima consola de Nintendo aún no está disponible, ya que se suponía que el sistema se lanzaría en 2023. Si bien no es así Aún no se sabe por qué el sistema aún no se ha lanzado, existe la posibilidad de que este retraso en la ventana de lanzamiento proyectada haya dado lugar a algunos cambios en el diseño del sistema que podrían afectar ligeramente su rendimiento.

Originalmente se planeó que Nintendo Switch 2 usara un nodo de 8 nanómetros, pero esto puede haber cambiado debido al lanzamiento de la consola en 2025. Es posible que Nintendo también se haya mudado a un nodo más pequeño, posiblemente de Samsung, para una mejor eficiencia energética, más capacidad y costo reducido. Como señala acertadamente Moore’s Law is Dead, lanzar un nuevo sistema utilizando un nodo a partir de 2023 no sería una decisión inteligente, ya que las cosas han cambiado en los últimos años. TSMC, por ejemplo, ha mejorado sus nodos desde entonces, los cobra menos y son más fáciles de producir. Si se realizó una reducción de nodo o algún tipo de rediseño de hardware en Nintendo Switch 2, entonces existe la posibilidad de que pueda alcanzar alrededor de 4,5 teraflops en modo acoplado, a diferencia de los 4,0 teraflops que se rumoreaban hace algún tiempo.

Por ahora, las especificaciones de Nintendo Switch 2 aún no se han confirmado, pero tenemos una idea aproximada de lo que será capaz de hacer el sistema gracias a las filtraciones. Si la consola realmente tiene 12 GB de RAM LPDDR5X, podría ofrecer una mejor calidad de textura que la consola más débil de la generación actual, la Xbox Series S, pero es poco probable que su rendimiento general lo supere debido a una CPU mucho más débil. Es probable que NVIDIA DLSS ayude a que la consola tenga resoluciones de salida superiores a 1080p con facilidad, aunque es probable que no sea muy frecuente ver una salida 4K.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/el-lanzamiento-tardio-de-nintendo-switch-2-esta-dejando-a-la-gente-de-nvidia-confundida-la-reduccion-de-nodos-podria-aumentar-el-rendimiento-a-45-teraflops/feed/ 0
AMD demuestra gráficos de trabajo de GPU en Radeon RX 7900 XTX, renderizado mucho más rápido con nodos de malla que llegarán más adelante este año https://magazineoffice.com/amd-demuestra-graficos-de-trabajo-de-gpu-en-radeon-rx-7900-xtx-renderizado-mucho-mas-rapido-con-nodos-de-malla-que-llegaran-mas-adelante-este-ano/ https://magazineoffice.com/amd-demuestra-graficos-de-trabajo-de-gpu-en-radeon-rx-7900-xtx-renderizado-mucho-mas-rapido-con-nodos-de-malla-que-llegaran-mas-adelante-este-ano/#respond Tue, 19 Mar 2024 07:04:54 +0000 https://magazineoffice.com/amd-demuestra-graficos-de-trabajo-de-gpu-en-radeon-rx-7900-xtx-renderizado-mucho-mas-rapido-con-nodos-de-malla-que-llegaran-mas-adelante-este-ano/

AMD ha publicado la primera demostración de su GPU Radeon RX 7900 XTX «RDNA 3» que maneja Work Graphs, proporcionando un renderizado mucho más rápido y eficiente.

Los gráficos de trabajo de DirectX 12 allanan el camino para el futuro del renderizado de gráficos; la demostración de AMD muestra una enorme mejora en los tiempos de renderizado con la GPU Radeon RX 7900 XTX

En una publicación anterior, explicamos cómo la última característica de DirectX 12 API conocida como Work Graphs permitirá una autonomía total de la GPU, reduciendo la cantidad de trabajo que normalmente se realiza en la CPU y moviéndolo directamente a la GPU para una renderización más rápida y un rendimiento general más rápido en juegos y aplicaciones.

Work Graphs es el resultado de varios años de colaboración entre Microsoft®, AMD y otros socios. Siempre supimos que querríamos ampliar esta capacidad más allá de la pura computación para abarcar también los nodos de dibujo, estamos encantados de ver que este prototipo ya se ejecuta en hardware real y esperamos continuar nuestra sólida asociación a medida que agregamos esta funcionalidad a un futuro. versión de Direct3D.

Shawn Hargreaves (Gerente principal de ingeniería, Direct3D, Microsoft)

Para nosotros, la API de gráficos de trabajo de GPU es un paso importante en la programación de gráficos, especialmente con los nuevos nodos de dibujo. ¡Ya no querríamos construir nada complejo sin él! Esperamos aplicar gráficos de trabajo a muchos problemas en el espacio gráfico.

Prof. Dr.-Ing. Quirin Meyer (Universidad de Ciencias y Artes Aplicadas de Coburg)

En GDC 2024, AMD presentó una demostración muy temprana de Work Graphs que se ejecuta sincrónicamente con Mesh Nodes y que amplía la función DirectX 12. Lo que harán los Mesh Nodes es procesar llamadas de dibujo mientras se ejecuta el resto del gráfico. La demostración muestra toda la escena (menos la interfaz de usuario y Skybox) renderizada en un solo gráfico de trabajo.

Pruebas realizadas por AMD a partir del 15 de marzo de 2024 en AMD Radeon RX 7900 XTX usando el software AMD: controlador de versión preliminar Adrenalin Edition 31.0.24014.1002, usando el comando ExecuteIndirect y Work Graphs con la extensión de nodos de malla para enviar información de la escena a Microsoft DirectX. 12, en un sistema de prueba configurado con una CPU AMD Ryzen 7 5800X, 32 GB de RAM DDR5, placa base Gigabyte X570 AORUS ELITE WIFI y actualización de Windows 11 Pro 2023, utilizando la demostración de contenido procesal de AMD Work Graphs con descripción general, pradera, puente, pared. y vistas de la escena del mercado. Los fabricantes de sistemas pueden variar las configuraciones, lo que produce resultados diferentes. RS-640.

La demostración se ejecutó en una GPU AMD Radeon RX 7900 XTX «RDNA 3» que es totalmente compatible con DirectX 12 Work Graphs y Mesh Shaders, lo que le permite ejecutar ExectureIndirect mucho más rápido, la forma tradicional de ejecución de gráficos y renderizado de una escena. La técnica era 1,64 veces más lenta que Work Graphs, lo que muestra la ventaja de la nueva función. AMD también compartió algunas estadísticas interesantes sobre su nueva demostración que incluye:

  • 6600 llamadas de sorteo/cuadro (después de fusionarse)
  • 13 millones de triángulos/marco
  • 200.000 elementos de trabajo pasando por el gráfico.
  • 37 nodos y 9 nodos de dibujo
  • < 200 MiB de memoria de almacenamiento de respaldo de gráficos de trabajo
Fuente de la imagen: NVIDIA

Work Graphs será la próxima extensión importante de la API DirectX 12 y se espera que esté disponible con Mesh Nodes a finales de este año. NVIDIA e Intel también están a bordo del tren de Work Graphs, por lo que se esperan avances de ellos también en la tecnología a medida que gane más tracción.

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/amd-demuestra-graficos-de-trabajo-de-gpu-en-radeon-rx-7900-xtx-renderizado-mucho-mas-rapido-con-nodos-de-malla-que-llegaran-mas-adelante-este-ano/feed/ 0
Se rumorea que Qualcomm utilizará nodos de 3 nm y 4 nm para sus nuevos conjuntos de chips de realidad mixta para enfrentarse al Apple Vision Pro https://magazineoffice.com/se-rumorea-que-qualcomm-utilizara-nodos-de-3-nm-y-4-nm-para-sus-nuevos-conjuntos-de-chips-de-realidad-mixta-para-enfrentarse-al-apple-vision-pro/ https://magazineoffice.com/se-rumorea-que-qualcomm-utilizara-nodos-de-3-nm-y-4-nm-para-sus-nuevos-conjuntos-de-chips-de-realidad-mixta-para-enfrentarse-al-apple-vision-pro/#respond Thu, 29 Feb 2024 15:19:14 +0000 https://magazineoffice.com/se-rumorea-que-qualcomm-utilizara-nodos-de-3-nm-y-4-nm-para-sus-nuevos-conjuntos-de-chips-de-realidad-mixta-para-enfrentarse-al-apple-vision-pro/

Apple Vision Pro presenta el emparejamiento de conjuntos de chips M2 y R1, los cuales han sido producidos en masa en el proceso de 4 nm de TSMC. Qualcomm puede obtener una ventaja frente a este hardware, ya que según el último rumor, el fabricante de chipsets utilizará tecnologías de 3 nm y 4 nm para diseñar su próxima gama de silicio hecha a medida para auriculares de realidad mixta.

El chipset actual de Qualcomm para auriculares de realidad mixta es el Snapdragon XR+, que se fabrica en el proceso de 7 nm.

Dado que la firma de San Diego presentará su primer SoC de 3 nm para teléfonos inteligentes a finales de este año, que es el Snapdragon 8 Gen 4, también se dice que Qualcomm está desarrollando dos conjuntos de chips para auriculares de realidad mixta basados ​​en los 4 nm y el nodo de vanguardia. Su último chipset, el Snapdragon XR+, necesita poca presentación, pero cabe señalar que la compañía ha aprovechado la tecnología más antigua de 7 nm. Es posible que con el inicio de Apple Vision Pro, Qualcomm decidiera invertir más recursos en esta categoría, ya que el dispositivo portátil de su rival, de 3.499 dólares, podría allanar el camino para el crecimiento futuro en este sector.

El Snapdragon X Elite será la primera competencia real de Apple contra su serie M de chips que alimentan a toda una familia de Mac portátiles, y pronto podremos ver una ola de auriculares AR tratados con SoC producidos en masa con la misma litografía. Para su primera oferta ‘Snapdragon XR’ de 4 nm, Qualcomm puede quedarse con TSMC, ya que la historia ha demostrado en el pasado que el gigante taiwanés de los semiconductores es lo que permitió que el Snapdragon 8 Gen 2 y el Snapdragon 8 Gen 3 cerraran la brecha entre el A16 Bionic y el A17 de Apple. Pro.

Para la versión de 3 nm, si Samsung puede aumentar esos rendimientos, con los que sigue luchando, Qualcomm puede cambiar a una estrategia de abastecimiento dual que se informó anteriormente que adoptó para el silicio de sus teléfonos inteligentes, pero que fracasó en esa búsqueda porque Samsung no ha podido. para abordar el problema antes mencionado desde hace bastante tiempo. Hemos informado que Qualcomm solicitó tanto a TSMC como a Samsung sus muestras de 2 nm, por lo que existe una oportunidad para que la fundición coreana vuelva a ser socio.

Muy pronto, el Apple Vision Pro tendrá varios competidores, cada uno de los cuales intentará superar a los ridículamente costosos auriculares en precio, peso o características que desviarán a los clientes. Nadie sabe qué tan exitosos serán estos intentos, pero nos alegra escuchar sobre los supuestos esfuerzos de Qualcomm para fabricar los mejores chips posibles.

Fuente de noticias: Gamma0burst

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/se-rumorea-que-qualcomm-utilizara-nodos-de-3-nm-y-4-nm-para-sus-nuevos-conjuntos-de-chips-de-realidad-mixta-para-enfrentarse-al-apple-vision-pro/feed/ 0
Intel anuncia un nuevo nodo 14A en IFS Direct Connect 2024, y su agresiva hoja de ruta de ‘cinco nodos en cuatro años’ sigue en marcha https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/ https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/#respond Thu, 22 Feb 2024 05:23:22 +0000 https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/

Intel celebró hoy su evento inaugural Intel Foundry Services Direct Connect. Básicamente, marca el lanzamiento de la nueva estrategia de fabricación de Intel, que reúne todas las soluciones de fabricación, diseño de sistemas, empaquetado y conectividad de sus clientes bajo un mismo paraguas.

Durante el evento, Intel describió su nueva hoja de ruta de procesos que incluyó el anuncio de su nodo 14A. Se estima que llegará al mercado en 2026 o 2027 y marca el primer nodo que utiliza la litografía High-NA. Se espera que incorpore entrega de energía trasera PowerVia y transistores RibbonFET GAA. Basta decir que esto es algo de última generación.



Source link-8

]]>
https://magazineoffice.com/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha/feed/ 0
Intel agrega el nodo de proceso 14A a su hoja de ruta, las actualizaciones de los nodos 18A e Intel 3 se presentan en IFS Direct https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/ https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/#respond Thu, 22 Feb 2024 05:04:54 +0000 https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/

Intel ha presentado su nueva hoja de ruta para sus nodos de proceso de próxima generación en IFS Direct, que ahora incluye 14A y actualizaciones en nodos ya anunciados.

La hoja de ruta del proceso Intel 2027 presenta los nodos 14A, 14A-E, 18A-P, 3E y 3-PT para semiconductores de próxima generación

Presione soltar: Intel Corp. (INTC) lanzó hoy Intel Foundry como una empresa de fundición de sistemas más sostenible diseñada para la era de la IA y anunció una hoja de ruta de proceso ampliada diseñada para establecer el liderazgo en la última parte de esta década.

La compañía también destacó el impulso de los clientes y el apoyo de los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, quienes describieron su disposición para acelerar los diseños de chips de los clientes de Intel Foundry con herramientas, flujos de diseño y carteras de IP validadas para el paquete avanzado de Intel, e Intel. Tecnologías de proceso 18A.

Los anuncios se realizaron en el primer evento Foundry de Intel, Foundry Direct Connect, donde la compañía reunió a clientes, empresas del ecosistema y líderes de toda la industria. Entre los participantes y oradores se encontraban la secretaria de Comercio de EE. UU., Gina Raimondo, el director ejecutivo de Arm, Rene Haas, el director ejecutivo de Microsoft, Satya Nadella, el director ejecutivo de OpenAI, Sam Altman, y otros.

Los aspectos más destacados de estos anuncios se enumeran a continuación:

  • Intel Foundry se lanza como la primera fundición de sistemas del mundo para la era de la IA, ofreciendo liderazgo en tecnología, resiliencia y sostenibilidad.
  • Intel Foundry presenta una nueva hoja de ruta que incluye tecnología de proceso 14A, evoluciones de nodos especializados y nuevas capacidades de prueba y ensamblaje de sistemas avanzados (ASAT) de Foundry para ayudar a los clientes a hacer realidad sus ambiciones de IA.
  • Intel Foundry anuncia victoria en el diseño: el director ejecutivo de Microsoft, Satya Nadella, comparte que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.
  • Los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, anuncian herramientas validadas, flujos de diseño y carteras de propiedad intelectual (IP) listas para habilitar los diseños de los clientes.

[Editor’s Note] Con su última hoja de ruta de nodos de proceso, Intel está presentando nuevas incorporaciones a su hoja de ruta de procesos que ahora incluyen subvariantes de cada nodo con los sufijos «E», «P» y «T». Todos estos sufijos representan una cierta extensión del conjunto de funciones, el rendimiento o la tecnología de empaquetado. La «P» para modelos como 18A-P y 3-PT representa un mayor rendimiento con hasta un 10% de ganancias sobre su variante estándar, mientras que la «T» representa el uso de TSV o Through-Silicon Vias que formarán parte del 3D. Tecnología Foveros Direct. Las subvariantes «E» representan una extensión del nodo clásico que se dirigirá a clientes específicos.

Además, la compañía también reveló que ya ha grabado sus CPU Clearwater Forest Xeon E-Core de próxima generación, mientras que 18A está listo para el diseño completo del producto en el segundo trimestre de 2024. Chipzilla también confirmó algunos datos sobre Clearwater Forest que hemos estado escuchando. durante las últimas semanas. Utilizará varias tecnologías como:

  • PowerVía
  • CintaFET
  • Troquel básico Intel 3
  • EMIB
  • Apilamiento 3D directo de Foveros

La hoja de ruta del proceso se expande más allá del 5N4Y

La hoja de ruta de tecnología de procesos extendida de Intel agrega 14A al plan de nodos de vanguardia de la compañía, además de varias evoluciones de nodos especializados. Intel también afirmó que su ambiciosa hoja de ruta de proceso de cinco nodos en cuatro años (5N4Y) sigue en marcha y ofrecerá la primera solución de energía trasera de la industria. Los líderes de la empresa esperan que Intel recupere el liderazgo en procesos con Intel 18A en 2025.

Una foto muestra una herramienta DMX de recogida y colocación para el apilamiento de la tecnología de embalaje Foveros en una fábrica de Intel en Oregón en diciembre de 2023.

La nueva hoja de ruta incluye evoluciones para tecnologías de procesos 3, 18A y 14A. Incluye 3-T, que está optimizado con vías de silicio para diseños de envases avanzados en 3D y pronto estará listo para su fabricación.

También se destacan los nodos de proceso maduros, incluidos los nuevos nodos de 12 nanómetros que se esperan mediante el desarrollo conjunto con UMC anunciado el mes pasado. Estas evoluciones están diseñadas para permitir a los clientes desarrollar y entregar productos adaptados a sus necesidades específicas. Intel Foundry planifica un nuevo nodo cada dos años y evoluciones de nodo a lo largo del camino, brindando a los clientes un camino para evolucionar continuamente sus ofertas en la tecnología de procesos líder de Intel.

Intel también anunció la incorporación de Foundry FCBGA 2D+ a su conjunto integral de ofertas ASAT, que ya incluyen FCBGA 2D, EMIB, Foveros y Foveros Direct.

Microsoft Design en 18A encabeza el impulso de los clientes

Los clientes respaldan el enfoque de fundición de sistemas a largo plazo de Intel. Durante el discurso de apertura de Pat Gelsinger, el presidente y director ejecutivo de Microsoft, Satya Nadella, afirmó que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.

«Estamos en medio de un cambio de plataforma muy interesante que transformará fundamentalmente la productividad de cada organización individual y de toda la industria», dijo Nadella. “Para lograr esta visión, necesitamos un suministro confiable de los semiconductores más avanzados, de alto rendimiento y de alta calidad. Es por eso que estamos tan emocionados de trabajar con Intel Foundry y por eso hemos elegido un diseño de chip que planeamos producir en el proceso Intel 18A”.

Intel Foundry tiene logros en diseño en todas las generaciones de procesos de fundición, incluidas 18A, 16 y 3, junto con un importante volumen de clientes en capacidades ASAT de Foundry, incluido el empaquetado avanzado.

Un empleado de fabricación muestra un sistema de prueba en chip construido sobre un sustrato de vidrio en una fábrica de Intel en Chandler, Arizona.

En total, en obleas y embalajes avanzados, el valor esperado del acuerdo de por vida de Intel Foundry es superior a 15 mil millones de dólares.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago lunar
lago nuevo
¿Rápidos de diamante?
Socio de fundición
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Comparte esta historia

Facebook

Gorjeo



Source link-29

]]>
https://magazineoffice.com/intel-agrega-el-nodo-de-proceso-14a-a-su-hoja-de-ruta-las-actualizaciones-de-los-nodos-18a-e-intel-3-se-presentan-en-ifs-direct/feed/ 0
Los profesionales de Palworld coinciden en que las bases minerales «cambian la vida» y este lugar de 13 nodos en el mapa es ideal para uno. https://magazineoffice.com/los-profesionales-de-palworld-coinciden-en-que-las-bases-minerales-cambian-la-vida-y-este-lugar-de-13-nodos-en-el-mapa-es-ideal-para-uno/ https://magazineoffice.com/los-profesionales-de-palworld-coinciden-en-que-las-bases-minerales-cambian-la-vida-y-este-lugar-de-13-nodos-en-el-mapa-es-ideal-para-uno/#respond Thu, 25 Jan 2024 16:12:47 +0000 https://magazineoffice.com/los-profesionales-de-palworld-coinciden-en-que-las-bases-minerales-cambian-la-vida-y-este-lugar-de-13-nodos-en-el-mapa-es-ideal-para-uno/

Palworld, el juego de supervivencia y creación de sandbox súper viral con monstruos parecidos a Pokémon, acaba de tener el lanzamiento más grande en la historia de Steam y no muestra signos de desaceleración. Sin embargo, a medida que atrae cada vez a más jugadores a su extraña mezcla de géneros, hace poco para informarles sobre un truco súper útil que les permite recolectar una gran cantidad de posiblemente su recurso más crucial.

Si has jugado gran parte de Palworld, descubrirás rápidamente que el mineral (y más adelante, el carbón para procesarlo) es un recurso crucial para la elaboración y el progreso general. De hecho, probablemente ya te hayas preguntado exactamente dónde encontrar carbón en Palworld, y si bien puedes extraer muchos nodos individuales para extraer en las Dunas Crepusculares, sería mucho mejor que visitaras lugares en el mapa donde se encuentran grupos de estos. se generan rocas grandes.



Source link-2

]]>
https://magazineoffice.com/los-profesionales-de-palworld-coinciden-en-que-las-bases-minerales-cambian-la-vida-y-este-lugar-de-13-nodos-en-el-mapa-es-ideal-para-uno/feed/ 0
AMD utilizará nodos Samsung de 4 nm y TSMC de 3 nm para chips de próxima generación, Zen 5C posiblemente con el nombre en código Prometheus https://magazineoffice.com/amd-utilizara-nodos-samsung-de-4-nm-y-tsmc-de-3-nm-para-chips-de-proxima-generacion-zen-5c-posiblemente-con-el-nombre-en-codigo-prometheus/ https://magazineoffice.com/amd-utilizara-nodos-samsung-de-4-nm-y-tsmc-de-3-nm-para-chips-de-proxima-generacion-zen-5c-posiblemente-con-el-nombre-en-codigo-prometheus/#respond Sun, 12 Nov 2023 15:25:13 +0000 https://magazineoffice.com/amd-utilizara-nodos-samsung-de-4-nm-y-tsmc-de-3-nm-para-chips-de-proxima-generacion-zen-5c-posiblemente-con-el-nombre-en-codigo-prometheus/

Se espera que AMD utilice el nodo de proceso de 4 nm de Samsung y de 3 nm de TSMC para sus chips de próxima generación, como se insinúa en una nueva filtración junto con un nuevo nombre en clave de Prometheus.

AMD aprovecha Samsung 4 nm y TSMC 3 nm para chips de próxima generación, ¿Zen 5C con nombre en código Prometheus?

La información más reciente proviene de gamma0burst, que ha compilado una enorme lista de datos basados ​​en perfiles/proyectos de empleados en LinkedIn. Según los datos, un ingeniero ha enumerado una variedad de nodos de proceso que AMD está aprovechando para el desarrollo de sus IP de próxima generación. Los más interesantes incluyen TSMC N3 (3 nm) y Samsung 4 nm. Sabemos que AMD utilizará una combinación de nodos de proceso de 4 nm y 3 nm para su arquitectura central Zen 5, pero hasta ahora la compañía ha confiado en TSMC para la producción.

Anteriormente se informó que AMD podría trasladar parte de la producción a Samsung y utilizar su tecnología de proceso de 4 nm, aunque se desconoce el alcance de este acuerdo. Es probable que AMD haya utilizado Samsung Foundries para una prueba o para una determinada E/S, pero los informes actuales indican que es poco probable que AMD produzca alguna IP importante en Samsung de 4 nm. No podemos decirlo con seguridad hasta que la palabra venga directamente de AMD.

Fuente de la imagen: gamma0burst

Además de eso, la filtración también menciona un nuevo nombre en clave, Prometheus. Una filtración anterior había revelado que el nombre en clave de Zen 4 es Perséfone, Zen 5 es Nirvana y Zen 6 es Morfeo. Se sabe que el núcleo Zen 4C tiene el nombre en código Dionysus, por lo que la probabilidad de que Prometheus sea el nombre en clave del núcleo Zen 5C es alta.

  • Zen 4 (5 nm) – perséfone
  • Zen 4C (5 nm) – Dioniso
  • Zen 5 (3 nm) – Nirvana
  • Zen 5C (¿3 nm?) – ¿Prometeo?
  • Zen 6 (2 nm) – Morfeo

Las arquitecturas centrales AMD Zen 5 y Zen 5C serán un negocio importante en 2024-2025. Impulsarán una variedad de familias, incluidas Strix Point (Ryzen Laptops), Granite Ridge (Ryzen Desktop) y Turin (EPYC Server). Habrá muchos más productos y se espera que los veamos ligeramente durante los próximos meses en los principales eventos planificados por AMD.

Hoja de ruta de CPU/APU AMD Zen:

Arquitectura Zen zen 6 Zen 5 (C) Zen 4 (C) Zen 3+ Zen 3 Zen 2 Zen+ Zen 1
Nombre clave principal Morfeo Nirvana (Zen 5)
Prometeo (Zen 5C)
Persona (Zen 4)
Dioniso (Zen 4C)
Warhol Cerebro Valhalla Zen+ zen
Nombre en clave CCD por confirmar eldora durango por confirmar Brekenridge Tierras Altas de Aspen N / A N / A
Nodo de proceso ¿3 nm/2 nm? 4 nm/3 nm 5 nm/4 nm 6nm 7nm 7nm 12nm 14nm
Servidor EPYC Venecia (6.ª generación) EPYC Turín (5.ª generación) EPYC Génova (4.ª generación)
EPYC Siena (4.ª generación)
EPYC Bérgamo (4.ª generación)
N / A EPYC Milán (3.ª generación) EPYC Roma (2.ª generación) N / A EPYC Nápoles (1.ª generación)
Escritorio de alta gama por confirmar Ryzen Threadripper 8000 (Pico Shamid) Ryzen Threadripper 7000 (Pico de tormenta) N / A Ryzen Threadripper 5000 (Chagal) Ryzen Threadripper 3000 (Pico del Castillo) Ryzen Threadripper 2000 (Coflax) Ryzen Threadripper 1000 (refugio blanco)
CPU de escritorio convencionales por confirmar Ryzen 8000 (Granito Ridge) Ryzen 7000 (Rafael) Ryzen 6000 (Warhol / Cancelado) Ryzen 5000 (Vermeer) Ryzen 3000 (Matisse) Ryzen 2000 (Pinnacle Ridge) Ryzen 1000 (cumbre cumbre)
Escritorio convencional. APU portátil por confirmar Ryzen 8000 (punto Strix)
Ryzen **** (Punto Krackan)
Ryzen 7000 (Fénix) Ryzen 6000 (Rembrandt) Ryzen 5000 (Cézanne)
Ryzen 6000 (Barceló)
Ryzen 4000 (Renoir)
Ryzen 5000 (Luciana)
Ryzen 3000 (Picasso) Ryzen 2000 (Cuervo Ridge)
Móvil de bajo consumo por confirmar Ryzen 8000 (Escher) Ryzen 7000 (Mendocino) por confirmar por confirmar Ryzen 5000 (Van Gogh)
Ryzen 6000 (cresta del dragón)
N / A N / A

Fuentes de noticias: @Tech_Reve, @faridofanani96

Comparte esta historia

Facebook

Gorjeo





Source link-29

]]>
https://magazineoffice.com/amd-utilizara-nodos-samsung-de-4-nm-y-tsmc-de-3-nm-para-chips-de-proxima-generacion-zen-5c-posiblemente-con-el-nombre-en-codigo-prometheus/feed/ 0
Intel comienza la producción en gran volumen de chips Intel de 4 nodos esta semana https://magazineoffice.com/intel-comienza-la-produccion-en-gran-volumen-de-chips-intel-de-4-nodos-esta-semana/ https://magazineoffice.com/intel-comienza-la-produccion-en-gran-volumen-de-chips-intel-de-4-nodos-esta-semana/#respond Fri, 29 Sep 2023 08:56:52 +0000 https://magazineoffice.com/intel-comienza-la-produccion-en-gran-volumen-de-chips-intel-de-4-nodos-esta-semana/

Intel está listo para comenzar la fabricación en gran volumen de su nodo Intel 4 con litografía ultravioleta extrema (EUV) de última generación en Irlanda a partir del viernes 29 de septiembre. Organizará una ceremonia en sus instalaciones Fab 34 cerca de Leixlip, Irlanda, a las 12:45 p. m., hora estándar irlandesa. Estará presente el director ejecutivo de Intel, Pat Gelsinger.

Aunque no es la primera instalación que comienza la producción de Intel 4 (ya está en marcha en su fábrica D1 en Oregón), es la primera en Europa, y las obleas y troqueles que produce terminarán en los próximos procesadores Meteor Lake. Específicamente, Intel 4 se utiliza para producir los mosaicos de cómputo de las CPU Meteor Lake, que contienen núcleos P (Redwood Cove) y núcleos E (Crestmont).



Source link-8

]]>
https://magazineoffice.com/intel-comienza-la-produccion-en-gran-volumen-de-chips-intel-de-4-nodos-esta-semana/feed/ 0
El gobierno de EE. UU. ofrece nodos Nvidia A100 a mitad de precio https://magazineoffice.com/el-gobierno-de-ee-uu-ofrece-nodos-nvidia-a100-a-mitad-de-precio/ https://magazineoffice.com/el-gobierno-de-ee-uu-ofrece-nodos-nvidia-a100-a-mitad-de-precio/#respond Sat, 09 Sep 2023 20:13:13 +0000 https://magazineoffice.com/el-gobierno-de-ee-uu-ofrece-nodos-nvidia-a100-a-mitad-de-precio/

El Centro Nacional de Computación Científica de Investigación Energética de EE. UU. ofrece alquilar nodos GPU de computación basados ​​en Nvidia A100 de la supercomputadora Perlmutter con un descuento del 50% hasta finales de septiembre, como lo señaló Glenn K. Lockwood, un especialista en almacenamiento HPC de Microsoft. La oferta surge cuando la demanda de potencia informática para el entrenamiento de IA es escasa en toda la industria. Mientras tanto, la propuesta está disponible únicamente para usuarios de NERSC.

«Usar su tiempo ahora beneficia a toda la comunidad NERSC y distribuye la demanda de manera más uniforme a lo largo del año, por lo que para fomentar el uso ahora, estamos descontando todos los trabajos ejecutados en los nodos GPU de Perlmutter en un 50% a partir de mañana y hasta finales de septiembre», escribió Rebecca Hartman-Baker, líder del grupo de participación de usuarios de NERSC, en un correo electrónico a los usuarios de NERSC. «Cualquier trabajo (o parte de un trabajo) que se realice entre la medianoche de esta noche y el comienzo del 1 de octubre a la medianoche (hora del Pacífico) se cobrará sólo la mitad de los cargos habituales, por ejemplo, un trabajo de 3 horas en 7 nodos, lo que normalmente incurre en un cargo de 21 horas-nodo de GPU, se cobrarían 10,5 horas-nodo de GPU».





Source link-41

]]>
https://magazineoffice.com/el-gobierno-de-ee-uu-ofrece-nodos-nvidia-a100-a-mitad-de-precio/feed/ 0
Investigadores chinos promocionan los transistores de nanotubos de carbono más densos hasta la fecha, nodos de menos de 10 nm https://magazineoffice.com/investigadores-chinos-promocionan-los-transistores-de-nanotubos-de-carbono-mas-densos-hasta-la-fecha-nodos-de-menos-de-10-nm/ https://magazineoffice.com/investigadores-chinos-promocionan-los-transistores-de-nanotubos-de-carbono-mas-densos-hasta-la-fecha-nodos-de-menos-de-10-nm/#respond Wed, 02 Aug 2023 22:50:35 +0000 https://magazineoffice.com/investigadores-chinos-promocionan-los-transistores-de-nanotubos-de-carbono-mas-densos-hasta-la-fecha-nodos-de-menos-de-10-nm/

Investigadores de la Universidad de Pekín y otros institutos en China han logrado miniaturizar los nanotubos de carbono hacia una escala FET (Field-Effect Transistor) de 90 nm, la más densa jamás lograda, trazando así un curso a una densidad equivalente a la de los nodos semiconductores de 10 nm de hoy. Publicado originalmente en Naturaleza Electrónicala investigación marca la mayor miniaturización de un FET basado en tubos de carbono hasta ahora y podría ayudar a China a modernizar su tecnología de fabricación de manera que la proteja de las sanciones tecnológicas de EE. UU. y sus aliados.

Los nanotubos de carbono han sido durante mucho tiempo (léase: mucho tiempo) uno de los candidatos más prometedores para un diseño de transistor actualizado que es capaz de velocidades de conmutación más rápidas (frecuencias operativas más altas) con menos fugas (menos desperdicio de electricidad) y, en última instancia, mayor eficiencia y rendimiento.



Source link-41

]]>
https://magazineoffice.com/investigadores-chinos-promocionan-los-transistores-de-nanotubos-de-carbono-mas-densos-hasta-la-fecha-nodos-de-menos-de-10-nm/feed/ 0