{"id":1019900,"date":"2024-02-22T05:23:22","date_gmt":"2024-02-22T05:23:22","guid":{"rendered":"https:\/\/magazineoffice.com\/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha\/"},"modified":"2024-02-22T05:23:24","modified_gmt":"2024-02-22T05:23:24","slug":"intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/intel-anuncia-un-nuevo-nodo-14a-en-ifs-direct-connect-2024-y-su-agresiva-hoja-de-ruta-de-cinco-nodos-en-cuatro-anos-sigue-en-marcha\/","title":{"rendered":"Intel anuncia un nuevo nodo 14A en IFS Direct Connect 2024, y su agresiva hoja de ruta de ‘cinco nodos en cuatro a\u00f1os’ sigue en marcha"},"content":{"rendered":"


\n<\/p>\n

\n

Intel celebr\u00f3 hoy su evento inaugural Intel Foundry Services Direct Connect. B\u00e1sicamente, marca el lanzamiento de la nueva estrategia de fabricaci\u00f3n de Intel, que re\u00fane todas las soluciones de fabricaci\u00f3n, dise\u00f1o de sistemas, empaquetado y conectividad de sus clientes bajo un mismo paraguas.<\/p>\n

Durante el evento, Intel describi\u00f3 su nueva hoja de ruta de procesos que incluy\u00f3 el anuncio de su nodo 14A. Se estima que llegar\u00e1 al mercado en 2026 o 2027 y marca el primer nodo que utiliza la litograf\u00eda High-NA. Se espera que incorpore entrega de energ\u00eda trasera PowerVia y transistores RibbonFET GAA. Basta decir que esto es algo de \u00faltima generaci\u00f3n.<\/p>\n