{"id":214751,"date":"2022-10-05T08:18:52","date_gmt":"2022-10-05T08:18:52","guid":{"rendered":"https:\/\/magazineoffice.com\/samsung-respira-el-cuello-de-tsmc-anuncia-la-fabricacion-de-2-nm-para-2025\/"},"modified":"2022-10-05T08:18:54","modified_gmt":"2022-10-05T08:18:54","slug":"samsung-respira-el-cuello-de-tsmc-anuncia-la-fabricacion-de-2-nm-para-2025","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/samsung-respira-el-cuello-de-tsmc-anuncia-la-fabricacion-de-2-nm-para-2025\/","title":{"rendered":"Samsung respira el cuello de TSMC: anuncia la fabricaci\u00f3n de 2 nm para 2025"},"content":{"rendered":"


\n<\/p>\n

\n

Esto no es un consejo de inversi\u00f3n. El autor no tiene cargo en ninguna de las acciones mencionadas. Wccftech.com tiene una pol\u00edtica de divulgaci\u00f3n y \u00e9tica.<\/p>\n

La divisi\u00f3n de fabricaci\u00f3n de chips del chaebol coreano Samsung, Samsung Foundry, ha presentado nuevos planes para sus procesos avanzados de fabricaci\u00f3n de chips. Samsung Foundry es uno de los dos fabricantes globales de chips por contrato que son capaces de fabricar semiconductores con tecnolog\u00edas avanzadas, y la compa\u00f1\u00eda tom\u00f3 la delantera a principios de este a\u00f1o cuando anunci\u00f3 que estaba comenzando la fabricaci\u00f3n de chips en etapa inicial con el proceso de 3 nan\u00f3metros. El anuncio sirvi\u00f3 para proporcionar a Samsung una ventaja sobre su \u00fanico rival, Taiwan Semiconductor Manufacturing Company (TSMC), que est\u00e1 programado para iniciar la producci\u00f3n en masa de 3nm durante la segunda mitad de este a\u00f1o.<\/p>\n

Ahora, en su evento de tecnolog\u00eda en los EE. UU., Samsung comparti\u00f3 planes para tecnolog\u00edas m\u00e1s nuevas y comparti\u00f3 que planea triplicar su capacidad de fabricaci\u00f3n para procesos avanzados para 2027. Las tecnolog\u00edas incluyen 2nm y 1.4nm, junto con una nueva estrategia de sala limpia que la compa\u00f1\u00eda cree. le permitir\u00e1 escalar f\u00e1cilmente la producci\u00f3n para satisfacer los posibles aumentos de la demanda.<\/p>\n

Samsung apunta a triplicar su capacidad de fabricaci\u00f3n avanzada de chips para 2027<\/h2>\n

Las fortunas de Samsung en el mundo de la fabricaci\u00f3n de chips han estado en el centro de la controversia \u00faltimamente, ya que los informes persistentes en la prensa han se\u00f1alado problemas con algunas de las \u00faltimas tecnolog\u00edas de la compa\u00f1\u00eda. Esto ha resultado en cambios de gesti\u00f3n en Samsung, con algunos informes que afirman que el rendimiento, que se refiere a la cantidad de chips utilizables en una oblea de silicio, fue falsificado por ejecutivos.<\/p>\n

Ahora, Samsung parece avanzar, ya que en su Samsung Foundry Event, la compa\u00f1\u00eda ha compartido planes para nuevas tecnolog\u00edas de fabricaci\u00f3n y capacidades de producci\u00f3n. Samsung ha se\u00f1alado que su objetivo es comenzar la producci\u00f3n en masa de su tecnolog\u00eda de 2 nm para 2025, y el seguimiento m\u00e1s avanzado de 1,4 nm para 2027.<\/p>\n

Esta l\u00ednea de tiempo pone a Samsung a la par con TSMC, que tambi\u00e9n planea iniciar la fabricaci\u00f3n de 2 nm en 2025. La compa\u00f1\u00eda taiwanesa reiter\u00f3 esta l\u00ednea de tiempo en su propio evento de fundici\u00f3n en septiembre, con el vicepresidente senior de investigaci\u00f3n y desarrollo y tecnolog\u00eda de TSMC, el Dr. YJ Mii insinuando que su empresa utilizar\u00e1 m\u00e1quinas avanzadas para la tecnolog\u00eda m\u00e1s nueva.<\/p>\n

\n
<\/div>
Diagrama de Samsung Foundry que muestra la evoluci\u00f3n de un transistor de FinFET a GAAFET y luego a MBCFET. El proceso de 3 nm de la empresa coreana utilizar\u00e1 transistores GAAFET, que ha desarrollado en colaboraci\u00f3n con International Business Machines Corporation (IBM). Sin embargo, la eficiencia de producci\u00f3n de Samsung ha planteado durante mucho tiempo algunas preguntas en la industria sobre sus tecnolog\u00edas de chips anteriores. Imagen: Electr\u00f3nica Samsung<\/figcaption><\/figure>\n

Los chips de 3 nm de Samsung y TSMC son similares solo en la nomenclatura, ya que la empresa coreana utiliza una forma avanzada de transistor denominada ‘GAAFET’ para sus chips. GAAFET significa Gate All Around FinFET, y expone m\u00e1s \u00e1reas de circuito para mejorar el rendimiento.<\/p>\n

TSMC planea cambiar a transistores similares con su proceso de 2nm, y para ese momento la firma tambi\u00e9n apunta a poner en l\u00ednea m\u00e1quinas de fabricaci\u00f3n de chips m\u00e1s nuevas denominadas ‘High NA’. Estas m\u00e1quinas tienen lentes m\u00e1s anchas que permiten a los fabricantes de chips imprimir dise\u00f1os de circuitos precisos en una oblea de silicio, y son muy buscadas en el mundo de la fabricaci\u00f3n de chips, ya que solo las construye la firma holandesa ASML y se reservan con a\u00f1os de anticipaci\u00f3n.<\/p>\n

Samsung tambi\u00e9n planea triplicar su capacidad de fabricaci\u00f3n de chips avanzados sobre los niveles actuales para 2027. La compa\u00f1\u00eda tambi\u00e9n comparti\u00f3 su estrategia de fabricaci\u00f3n \u00abShell First\u00bb en el evento de fundici\u00f3n, donde destac\u00f3 que primero construir\u00e1 instalaciones f\u00edsicas como salas limpias y luego las llenar\u00e1 con m\u00e1quinas de fabricaci\u00f3n de chips en caso de que la demanda se materialice. La capacidad de producci\u00f3n es un juego de \u00abesconder y buscar\u00bb en la industria de fabricaci\u00f3n de chips, con empresas que a menudo invierten grandes sumas para poner la capacidad en l\u00ednea, solo para preocuparse por la sobreinversi\u00f3n m\u00e1s adelante si la demanda no se materializa.<\/p>\n

Esta estrategia es similar a la que est\u00e1 empleando Intel Corporation, a trav\u00e9s de la cual la empresa tambi\u00e9n crear\u00e1 ‘capacidad opcional’ a trav\u00e9s de un plan denominado Smart Capital.<\/p>\n<\/p><\/div>\n