{"id":265105,"date":"2022-10-29T08:45:06","date_gmt":"2022-10-29T08:45:06","guid":{"rendered":"https:\/\/magazineoffice.com\/actualizaciones-de-intel-sobre-chips-de-proxima-generacion-produccion-de-meteor-lake-entrando-en-el-cuarto-trimestre-granite-rapids-fuera-de-fabrica-20a-18a-terminado-sierra-forest-en-camino-para\/"},"modified":"2022-10-29T08:45:08","modified_gmt":"2022-10-29T08:45:08","slug":"actualizaciones-de-intel-sobre-chips-de-proxima-generacion-produccion-de-meteor-lake-entrando-en-el-cuarto-trimestre-granite-rapids-fuera-de-fabrica-20a-18a-terminado-sierra-forest-en-camino-para","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/actualizaciones-de-intel-sobre-chips-de-proxima-generacion-produccion-de-meteor-lake-entrando-en-el-cuarto-trimestre-granite-rapids-fuera-de-fabrica-20a-18a-terminado-sierra-forest-en-camino-para\/","title":{"rendered":"Actualizaciones de Intel sobre chips de pr\u00f3xima generaci\u00f3n: Producci\u00f3n de Meteor Lake entrando en el cuarto trimestre, Granite Rapids fuera de f\u00e1brica, 20A\/18A terminado, Sierra Forest en camino para 2024"},"content":{"rendered":"


\n<\/p>\n

\n

Durante su \u00faltima llamada de ganancias, el CEO de Intel, Pat Gelsinger, destac\u00f3 algunas actualizaciones importantes con respecto a sus pr\u00f3ximos chips en el segmento de clientes y centros de datos.<\/p>\n

Intel actualiza su producci\u00f3n de chips de pr\u00f3xima generaci\u00f3n y el progreso del nodo de proceso en la \u00faltima convocatoria de ganancias<\/h2>\n

El primer producto que destac\u00f3 el CEO de Intel es la familia Meteor Lake de 14.\u00aa generaci\u00f3n para equipos de sobremesa y port\u00e1tiles. Basado en el nodo de proceso Intel 4, la CPU cliente de pr\u00f3xima generaci\u00f3n est\u00e1 en camino hacia la fabricaci\u00f3n de alto volumen y se espera que el primer paso de producci\u00f3n se complete en el cuarto trimestre de 2022. El segundo producto principal es la l\u00ednea Granite Rapids Xeon orientada al servidor. que utilizar\u00e1 el nodo de proceso \u00ab3\u00bb y el primer paso ya est\u00e1 fuera de Fab. Los nodos de proceso Intel 4 y 3 implementan EUV, lo que marcar\u00e1 un gran salto en el rendimiento del transistor por vatio y densidad. Intel espera una rampa de volumen de CPU Meteor Lake en 2023.<\/p>\n

<\/figure>\n
\n

En Intel 4, estamos progresando hacia una fabricaci\u00f3n de alto volumen y terminaremos la producci\u00f3n en Meteor Lake en el cuarto trimestre. El primer paso de Granite Rapids est\u00e1 fuera de la f\u00e1brica, rindiendo bien con Intel 3 que contin\u00faa progresando seg\u00fan lo programado. Intel 4 y 3 son nuestros primeros nodos que implementan EUV y representar\u00e1n un gran paso adelante en t\u00e9rminos de rendimiento de transistores por vatio y densidad.<\/p>\n

Patrick Gelsinger, CEO de Intel<\/p>\n<\/blockquote>\n

Intel tambi\u00e9n afirma que su progreso en los nodos de proceso 20A y 18A de pr\u00f3xima generaci\u00f3n que se beneficiar\u00e1n de la \u00faltima tecnolog\u00eda RibbonFET y PowerVia va bien. Los primeros chips de prueba internos ya est\u00e1n en los laboratorios y tambi\u00e9n hay un importante cliente \u00abpotencial\u00bb cuyo producto de pr\u00f3xima generaci\u00f3n se ha grabado en el proceso. Con los nodos de proceso 20A y 18A, se espera que Intel recupere su liderazgo en desempe\u00f1o de potencia y desempe\u00f1o de transistores para 2025.<\/p>\n

\"\"<\/figure>\n
\n

En Intel 20A y 18A, los primeros nodos que se beneficiaron de RibbonFET y PowerVia, nuestros primeros chips de prueba internos, y los de un importante cliente potencial de fundici\u00f3n han terminado con silicio funcionando en la f\u00e1brica. Seguimos en camino de recuperar el liderazgo en desempe\u00f1o de energ\u00eda y rendimiento de transistores para 2025.<\/p>\n

Patrick Gelsinger, CEO de Intel<\/p>\n<\/blockquote>\n

Los servicios de fundici\u00f3n de Intel o IFS tambi\u00e9n han dado la bienvenida a NVIDIA al programa RAMP-C. Intel declar\u00f3 recientemente que estar\u00eda encantado de tener chips AMD y NVIDIA fabricados en sus fundiciones y este podr\u00eda ser uno de los primeros pelda\u00f1os para hacerlo realidad.<\/p>\n

\n

IFS es uno de los principales beneficiarios de nuestro progreso en TD y nos complace dar la bienvenida a NVIDIA al programa RAMP-C, que permite que tanto los clientes comerciales de fundici\u00f3n como el Departamento de Defensa de EE. UU. aprovechen las inversiones a escala de Intel en tecnolog\u00edas de punta. Desde el segundo trimestre, IFS ha ampliado los compromisos a siete de los 10 clientes de fundici\u00f3n m\u00e1s grandes, junto con un crecimiento constante de la cartera para incluir 35 chips de prueba de clientes. Adem\u00e1s, IFS aument\u00f3 las oportunidades calificadas en $ 1 mil millones a m\u00e1s de $ 7 mil millones en valor de acuerdos, todo antes de que le demos la bienvenida al equipo de Tower con la culminaci\u00f3n esperada de la fusi\u00f3n en el primer trimestre de 2023.<\/p>\n

Esperamos que 20A sea principalmente un nodo interno, no uno en el que tenemos muchos clientes de fundici\u00f3n externa para el conjunto de chips de fundici\u00f3n externa o las salidas de cinta est\u00e1n asociadas en gran medida con 18A.<\/p>\n

Y un proceso muy t\u00edpico para un cliente de fundici\u00f3n ser\u00e1 \u00abdeme un chip de prueba de mis circuitos en su proceso\u00bb. y eso es exactamente lo que sacamos. El primero de este trimestre. Tendremos varios m\u00e1s en preparaci\u00f3n. As\u00ed que ahora estamos sacando no solo nuestros chips de prueba para 18A, sino tambi\u00e9n nuestros chips de prueba para clientes de fundici\u00f3n para 18A, y ese es un hito bastante cr\u00edtico cuando ven los resultados del silicio para tomar una decisi\u00f3n de volumen para un cliente de fundici\u00f3n.<\/p>\n

Patrick Gelsinger, CEO de Intel<\/p>\n<\/blockquote>\n

\"\"<\/figure>\n

Hablando espec\u00edficamente sobre la pr\u00f3xima generaci\u00f3n de centros de datos y productos de servidor, Pat afirma que Emerald Rapids est\u00e1 en camino para su lanzamiento en 2023 y estar\u00e1 disponible junto con los chips Sapphire Rapids en la misma plataforma, lo que permitir\u00e1 a los usuarios seleccionar entre una cartera diversa de SKU de procesadores escalables. . Granite Rapids, que est\u00e1 programado para 2024, est\u00e1 en funcionamiento con m\u00faltiples sistemas operativos en una variedad de configuraciones. Tambi\u00e9n se menciona Sierra Forest, el dise\u00f1o totalmente E-Core, que ofrecer\u00eda un rendimiento por vatio de clase mundial y tambi\u00e9n est\u00e1 en camino para un lanzamiento en 2024.<\/p>\n

\n

Tambi\u00e9n vimos importantes hitos en las pr\u00f3ximas tres generaciones de productos de servidor. Emerald Rapids est\u00e1 mostrando un buen progreso y est\u00e1 en camino para el a\u00f1o calendario ’23. Granite Rapids es muy saludable al ejecutar m\u00faltiples sistemas operativos en muchas configuraciones. Y con Sierra Forest, nuestro primer producto E-core que proporciona un rendimiento por vatio de clase mundial, ambos van por buen camino para el ’24.<\/p>\n

Patrick Gelsinger, CEO de Intel<\/p>\n<\/blockquote>\n

El resumen de la llamada de ganancias es:<\/p>\n