{"id":330322,"date":"2022-12-05T03:56:34","date_gmt":"2022-12-05T03:56:34","guid":{"rendered":"https:\/\/magazineoffice.com\/intel-allana-el-camino-hacia-un-billon-de-transistores-en-chips-de-ultima-generacion-para-2030\/"},"modified":"2022-12-05T03:56:36","modified_gmt":"2022-12-05T03:56:36","slug":"intel-allana-el-camino-hacia-un-billon-de-transistores-en-chips-de-ultima-generacion-para-2030","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/intel-allana-el-camino-hacia-un-billon-de-transistores-en-chips-de-ultima-generacion-para-2030\/","title":{"rendered":"Intel allana el camino hacia un bill\u00f3n de transistores en chips de \u00faltima generaci\u00f3n para 2030"},"content":{"rendered":"


\n<\/p>\n

\n

En IEDM, Intel Research ha mostrado c\u00f3mo la Ley de Moore est\u00e1 viva y c\u00f3mo Chipzilla planea ofrecer chips de pr\u00f3xima generaci\u00f3n con un bill\u00f3n de transistores para 2030.<\/p>\n

La investigaci\u00f3n de Intel impulsa la ley de Moore y allana el camino hacia un bill\u00f3n de transistores para 2030<\/h2>\n

Presione soltar:<\/strong> En IEDM 2022, en el 75.\u00ba aniversario del transistor, Intel apunta a una nueva mejora de densidad de 10 veces en la tecnolog\u00eda de empaquetado y utiliza material novedoso de solo 3 \u00e1tomos de espesor para avanzar en la escala del transistor.<\/p>\n

<\/figure>\n

Qu\u00e9 hay de nuevo:<\/strong> Hoy, Intel dio a conocer los avances de la investigaci\u00f3n que alimentan su l\u00ednea de innovaci\u00f3n para mantener la Ley de Moore encaminada a un bill\u00f3n de transistores en un paquete en la pr\u00f3xima d\u00e9cada. En IEEE International Electron Devices Meeting (IEDM) 2022, los investigadores de Intel mostraron avances en la tecnolog\u00eda de empaquetado 3D con una nueva mejora de 10 veces en la densidad; materiales novedosos para el escalado de transistores 2D m\u00e1s all\u00e1 de RibbonFET, incluido material s\u00faper delgado de solo 3 \u00e1tomos de espesor; nuevas posibilidades en eficiencia energ\u00e9tica y memoria para computaci\u00f3n de mayor rendimiento; y avances para la computaci\u00f3n cu\u00e1ntica.<\/p>\n

\n

\u201cSetenta y cinco a\u00f1os despu\u00e9s de la invenci\u00f3n del transistor, la innovaci\u00f3n que impulsa la Ley de Moore contin\u00faa abordando la creciente demanda mundial de computaci\u00f3n. En IEDM 2022, Intel est\u00e1 mostrando los avances de investigaci\u00f3n concretos y con visi\u00f3n de futuro necesarios para romper las barreras actuales y futuras, cumplir con esta demanda insaciable y mantener viva la Ley de Moore en los a\u00f1os venideros\u201d.<\/p>\n

\u2014 Gary Patton, vicepresidente de Intel y gerente general de investigaci\u00f3n de componentes y habilitaci\u00f3n de dise\u00f1o<\/p>\n<\/blockquote>\n

\n<\/figure>\n

Qu\u00e9 est\u00e1 pasando en IEDM:<\/strong> Para conmemorar el 75.\u00b0 aniversario del transistor, la Dra. Ann Kelleher, vicepresidenta ejecutiva y gerente general de Desarrollo de Tecnolog\u00eda de Intel, dirigir\u00e1 una sesi\u00f3n plenaria en IEDM. Kelleher delinear\u00e1 los caminos a seguir para la innovaci\u00f3n continua de la industria, uniendo el ecosistema en torno a una estrategia basada en sistemas para abordar la creciente demanda mundial de computaci\u00f3n e innovar de manera m\u00e1s efectiva para avanzar al ritmo de la Ley de Moore. La sesi\u00f3n, \u201c\u00a1Celebrando los 75 a\u00f1os del transistor! Una mirada a la evoluci\u00f3n de la innovaci\u00f3n de la Ley de Moore\u00bb, tendr\u00e1 lugar a las 9:45 a. m. PST del lunes 5 de diciembre.<\/p>\n

\n<\/figure>\n

Por qu\u00e9 es importante:<\/strong> La Ley de Moore es vital para abordar las necesidades inform\u00e1ticas insaciables del mundo, ya que el aumento del consumo de datos y el impulso hacia una mayor inteligencia artificial (IA) provocan la mayor aceleraci\u00f3n de la demanda de la historia.<\/p>\n

La innovaci\u00f3n continua es la piedra angular de la Ley de Moore. Muchos de los hitos de innovaci\u00f3n clave para las mejoras continuas de energ\u00eda, rendimiento y costos en las \u00faltimas dos d\u00e9cadas, incluido el silicio filtrado, la compuerta met\u00e1lica Hi-K y FinFET, en computadoras personales, procesadores gr\u00e1ficos y centros de datos comenzaron con el Grupo de investigaci\u00f3n de componentes de Intel. . M\u00e1s investigaciones, incluidos los transistores RibbonFET gate-all-around (GAA), la tecnolog\u00eda de entrega de energ\u00eda en la parte posterior PowerVia y los avances en empaques como EMIB y Foveros Direct, est\u00e1n en la hoja de ruta hoy.<\/p>\n

En IEDM 2022, el Grupo de Investigaci\u00f3n de Componentes de Intel mostr\u00f3 su compromiso con la innovaci\u00f3n en tres \u00e1reas clave para continuar con la Ley de Moore: nueva tecnolog\u00eda de empaquetamiento de enlace h\u00edbrido 3D para permitir la integraci\u00f3n perfecta de chiplets; materiales 2D s\u00faper delgados para colocar m\u00e1s transistores en un solo chip; y nuevas posibilidades en eficiencia energ\u00e9tica y memoria para computaci\u00f3n de mayor rendimiento.<\/p>\n

\n<\/figure>\n

C\u00f3mo lo hacemos:<\/strong> Los investigadores del Grupo de Investigaci\u00f3n de Componentes han identificado nuevos materiales y procesos que desdibujan la l\u00ednea entre el empaque y el silicio. Revelamos los pr\u00f3ximos pasos cr\u00edticos en el viaje para extender la Ley de Moore a un bill\u00f3n de transistores en un paquete, incluido un paquete avanzado que puede lograr una densidad de interconexi\u00f3n 10x adicional, lo que lleva a chips casi monol\u00edticos. Las innovaciones de materiales de Intel tambi\u00e9n han identificado opciones de dise\u00f1o pr\u00e1cticas que pueden cumplir con los requisitos de escalado de transistores utilizando material novedoso de solo 3 \u00e1tomos de espesor, lo que permite a la empresa continuar escalando m\u00e1s all\u00e1 de RibbonFET.<\/p>\n

Intel presenta chips casi monol\u00edticos para empaques 3D de pr\u00f3xima generaci\u00f3n:<\/strong><\/p>\n