{"id":332284,"date":"2022-12-06T07:35:17","date_gmt":"2022-12-06T07:35:17","guid":{"rendered":"https:\/\/magazineoffice.com\/proceso-de-20-angstrom-para-entrar-en-produccion-de-riesgo-para-la-primera-mitad-de-2024-18a-listo-para-la-segunda-mitad-de-2024\/"},"modified":"2022-12-06T07:35:19","modified_gmt":"2022-12-06T07:35:19","slug":"proceso-de-20-angstrom-para-entrar-en-produccion-de-riesgo-para-la-primera-mitad-de-2024-18a-listo-para-la-segunda-mitad-de-2024","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/proceso-de-20-angstrom-para-entrar-en-produccion-de-riesgo-para-la-primera-mitad-de-2024-18a-listo-para-la-segunda-mitad-de-2024\/","title":{"rendered":"Proceso de 20 Angstrom para entrar en producci\u00f3n de riesgo para la primera mitad de 2024, 18A listo para la segunda mitad de 2024"},"content":{"rendered":"


\n<\/p>\n

\n

La era de Angstrom est\u00e1 a punto de comenzar y la hoja de ruta m\u00e1s reciente de Intel muestra que la compa\u00f1\u00eda mantiene un \u00abritmo fren\u00e9tico\u00bb no solo para mantenerse en el camino, sino tambi\u00e9n para acelerar el cronograma de entrega. A medida que el silicio que se encoge lucha contra una avalancha de efectos cu\u00e1nticos f\u00edsicos, se vuelve cada vez m\u00e1s dif\u00edcil encoger los nodos, y ah\u00ed es donde entra la era post-nan\u00f3metro. Un angstrom, que es solo un nan\u00f3metro dividido en 10 rebanadas, va a ser\u00e1 el t\u00e9rmino de elecci\u00f3n para los nodos de proceso por debajo de 2 nm (o 20 Angstroms) y ser\u00e1n los primeros procesos (probablemente 18A) en debutar con los dispositivos EUV de alta NA de ASML. Sin embargo, lo m\u00e1s interesante es que parece que Intel est\u00e1 realmente adelantado.<\/p>\n

El proceso de 4nm de Intel est\u00e1 en riesgo de fabricaci\u00f3n hoy, \u00bfMeteor Lake se lanzar\u00e1 en 2023?<\/h2>\n

Intel revel\u00f3 otra hoja de ruta que compila informaci\u00f3n que ya exist\u00eda en el dominio p\u00fablico y confirm\u00f3 que su pr\u00f3ximo proceso de 4 nm est\u00e1 listo para la fabricaci\u00f3n. Vale la pena se\u00f1alar que los procesos en los que la empresa menciona que los nodos est\u00e1n \u00ablistos para fabricar\u00bb corresponden a la l\u00ednea de tiempo en la que esperan que el proceso est\u00e9 en producci\u00f3n de riesgo. Esto significa que Intel 4 est\u00e1 en producci\u00f3n de riesgo hoy. Extrapolando hacia adelante, esto podr\u00eda significar que [caution: educated speculation]<\/strong> El lago Meteoro es no<\/em> retrasado como algunos informes hab\u00edan indicado y se lanzar\u00e1 en alg\u00fan momento en 2023, en lugar de 2024, tal como la compa\u00f1\u00eda hab\u00eda planeado originalmente [\/ educated speculation]<\/strong>.<\/p>\n

\n
<\/div>
La hoja de ruta m\u00e1s reciente de Intel muestra que 18A est\u00e1 listo para la producci\u00f3n de riesgo para la segunda mitad de 2024, lo cual est\u00e1 adelantado a lo previsto.<\/figcaption><\/figure>\n

En cualquier caso, la empresa tambi\u00e9n ha revelado que est\u00e1 previsto que el proceso 18A (que deber\u00eda basarse en la tecnolog\u00eda litogr\u00e1fica EUV de alta NA de ASML) entre en producci\u00f3n de riesgo para la segunda mitad de 2024. Sin embargo, el salto tecnol\u00f3gico m\u00e1s significativo en el futuro pr\u00f3ximo ser\u00e1 suceder\u00e1 con 20A, que presentar\u00e1 RibbonFET y PowerVias al mismo tiempo. RibbonFET es una arquitectura de transistores de nanohoja o de compuerta que deber\u00eda extender la vida \u00fatil de la Ley de Moore al igual que lo hicieron los FinFET. Esto se combinar\u00e1 con la entrega de energ\u00eda trasera PowerVia, otra innovaci\u00f3n tecnol\u00f3gica dirigida por Ann B Kelleher, Gerente General de Desarrollo de Tecnolog\u00eda de la compa\u00f1\u00eda.<\/p>\n

\n

\u201cLa Ley de Moore se trata de aumentar la integraci\u00f3n de funciones\u201d, dice Kelleher. \u201cMientras miramos hacia los pr\u00f3ximos 10 a 20 a\u00f1os, hay una tuber\u00eda llena de innovaci\u00f3n\u201d<\/em><\/p>\n

Cuando se le pregunt\u00f3 acerca de la pr\u00f3xima transici\u00f3n tecnol\u00f3gica a RibbonFET y PowerVias en el proceso 20A, y el riesgo potencial que implica considerar los pasos en falso de la empresa con el proceso de 10nm, Ann Kelleher dijo lo siguiente:<\/p>\n

\u00abNo tienen que hacerse de una vez, pero vemos beneficios significativos al pasar a PowerVia para habilitar el [RibbonFET] tecnolog\u00eda… Eso ha estado funcionando con mucho \u00e9xito y nos ha permitido acelerar nuestro trabajo de desarrollo\u201d, – Ann B Kelleher, Gerente General de Desarrollo de Tecnolog\u00eda, Intel. Fuente: <\/em>IEEE<\/em><\/p>\n<\/blockquote>\n

\n
\"\"<\/div>
Una hoja de ruta de Intel m\u00e1s antigua de 2021 fij\u00f3 el proceso 18A para el \u00abdesarrollo\u00bb a principios de 2025.<\/figcaption><\/figure>\n

Entonces, considerando lo mal que Intel tropez\u00f3 con la transici\u00f3n a 10nm, \u00bfqu\u00e9 tan confiables son estas hojas de ruta? Bueno, cr\u00e9alo o no, hay mucha evidencia que apunta al hecho de que Intel en realidad est\u00e1 adelantado en el cronograma hasta por 6 meses. Esto es algo que hemos cubierto antes y mientras que con 10nm, Intel siempre estaba retrasando sus lanzamientos, en realidad ha vuelto a traer el lanzamiento de 18A del desarrollo en 2025 a la fabricaci\u00f3n lista (l\u00e9ase: producci\u00f3n de riesgo) en 2H 2024, que es bastante grande. acuerdo. Deber\u00eda ser un gran alivio para los inversores que sufren de PTSD de 10 nm saber que no solo el proceso va por buen camino, sino que Intel parece estar adelantado.<\/p>\n

\n

\t\t\t\tComparte esta historia<\/p>\n

<\/svg> Facebook<\/p>\n

<\/svg> Gorjeo<\/p>\n<\/p><\/div>\n<\/p><\/div>\n


\n
Source link-29 <\/a><\/p>\n","protected":false},"excerpt":{"rendered":"

La era de Angstrom est\u00e1 a punto de comenzar y la hoja de ruta m\u00e1s reciente de Intel muestra que la compa\u00f1\u00eda mantiene un \u00abritmo fren\u00e9tico\u00bb no solo para mantenerse…<\/p>\n","protected":false},"author":1,"featured_media":332285,"comment_status":"open","ping_status":"open","sticky":false,"template":"","format":"standard","meta":{"footnotes":""},"categories":[21980],"tags":[66052,66051,11876,1413,3507,107,594,3446,2511,2468,1271],"_links":{"self":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/332284"}],"collection":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts"}],"about":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/types\/post"}],"author":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/users\/1"}],"replies":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/comments?post=332284"}],"version-history":[{"count":1,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/332284\/revisions"}],"predecessor-version":[{"id":332286,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/332284\/revisions\/332286"}],"wp:featuredmedia":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/media\/332285"}],"wp:attachment":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/media?parent=332284"}],"wp:term":[{"taxonomy":"category","embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/categories?post=332284"},{"taxonomy":"post_tag","embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/tags?post=332284"}],"curies":[{"name":"wp","href":"https:\/\/api.w.org\/{rel}","templated":true}]}}