{"id":506651,"date":"2023-03-10T10:05:06","date_gmt":"2023-03-10T10:05:06","guid":{"rendered":"https:\/\/magazineoffice.com\/se-completo-el-desarrollo-de-los-nodos-de-proceso-de-18-nm-y-2-nm-de-intel-se-espera-para-la-primera-mitad-de-2024\/"},"modified":"2023-03-10T10:05:12","modified_gmt":"2023-03-10T10:05:12","slug":"se-completo-el-desarrollo-de-los-nodos-de-proceso-de-18-nm-y-2-nm-de-intel-se-espera-para-la-primera-mitad-de-2024","status":"publish","type":"post","link":"https:\/\/magazineoffice.com\/se-completo-el-desarrollo-de-los-nodos-de-proceso-de-18-nm-y-2-nm-de-intel-se-espera-para-la-primera-mitad-de-2024\/","title":{"rendered":"Se complet\u00f3 el desarrollo de los nodos de proceso de 1,8 nm y 2 nm de Intel, se espera para la primera mitad de 2024"},"content":{"rendered":"


\n<\/p>\n

\n

Seg\u00fan se informa, Intel ha terminado el desarrollo de sus procesos de fabricaci\u00f3n 18A y 20A planificados que ser\u00e1n utilizados internamente y por los clientes de Intel Foundry Services (IFS). Los nuevos nodos de proceso de 1,8 nm y 2 nm estar\u00e1n listos para la fabricaci\u00f3n a partir de la primera mitad del pr\u00f3ximo a\u00f1o.<\/p>\n

Intel est\u00e1 preparando los nodos de proceso 18A y 20A antes de lo previsto, y ahora se lanzar\u00e1n antes de lo que se inform\u00f3 por primera vez.<\/h2>\n

Ahora que la empresa finaliz\u00f3 las especificaciones de las nuevas tecnolog\u00edas de nodos de proceso, Intel puede comenzar a determinar cu\u00e1ndo comenzar\u00e1n a producir los dos procesos de fabricaci\u00f3n durante la primera mitad de 2024.<\/p>\n

\n

Tenemos una cartera activa de compromisos con siete de los 10 clientes de fundici\u00f3n m\u00e1s grandes junto con un crecimiento constante de la cartera para incluir 43 clientes potenciales y chips de prueba de socios del ecosistema. Adem\u00e1s, seguimos progresando en Intel 18A y ya hemos compartido la versi\u00f3n de ingenier\u00eda de PDK 0.5 (kit de dise\u00f1o de procesos) con nuestros clientes principales y esperamos tener la versi\u00f3n de producci\u00f3n final en las pr\u00f3ximas semanas.<\/p>\n

\u2014 Pat Gelsinger, director ejecutivo de Intel<\/p>\n<\/blockquote>\n

El 18A y el 20A (la \u00abA\u00bb significa \u00abangstroms\u00bb) utilizar\u00e1n nuevas tecnolog\u00edas a partir del uso de transistores RibbonFET en el 20A. Esta introducci\u00f3n de RibbonFET y PowerVia acelerar\u00e1 la entrega de energ\u00eda trasera, y la compa\u00f1\u00eda anticipa que superar\u00e1 a sus competidores en los procesos de fabricaci\u00f3n de semiconductores. Los dos competidores m\u00e1s importantes para Intel ahora son Samsung y TSMC.<\/p>\n

<\/figure>\n

El proceso 18A de Intel se basar\u00e1 en el proceso 20A al reducir el tama\u00f1o del transistor mientras se mantiene la potencia del nodo del proceso. El marco de tiempo original establecido que la compa\u00f1\u00eda seleccion\u00f3 para esta presentaci\u00f3n fue 2025. La compa\u00f1\u00eda ajust\u00f3 el lanzamiento a la segunda mitad de 2024.<\/p>\n

Intel tambi\u00e9n cambi\u00f3 la utilizaci\u00f3n de los esc\u00e1neres ASML Twinscan EXE para desarrollar el nodo de proceso 18A a los esc\u00e1neres Twinscan NXE actuales. La diferencia entre las dos m\u00e1quinas es que la \u00faltima utiliza una \u00f3ptica de apertura num\u00e9rica (NA) de 0,33 para desarrollar los nodos de proceso, mientras que la primera utiliza una \u00f3ptica de 0,55 NA. Adem\u00e1s, Intel utilizar\u00e1 litograf\u00eda de doble patr\u00f3n ultravioleta extremo (EUV).<\/p>\n

\"El<\/figure>\n

No se sabe en qu\u00e9 serie de chips 18A se incorporar\u00e1, pero la compa\u00f1\u00eda ha confirmado oficialmente que la tecnolog\u00eda de proceso 20A se utilizar\u00e1 para el nombre en clave \u00abArrow Lake\u00bb. Se muestra que Intel 18A se incorporar\u00e1 en futuros chips de la serie \u00abLake\u00bb basados \u200b\u200ben clientes, conjuntos de chips para centros de datos de la serie \u00abRapids\u00bb y chips de fundici\u00f3n para clientes de Intel.<\/p>\n

Tambi\u00e9n se informa que Intel ya produjo los primeros chips de prueba en los nodos de proceso 20A y 18A, pero no se menciona si estos chips est\u00e1n dise\u00f1ados internamente por Intel o para un cliente de terceros.<\/p>\n

Fuente de noticias: Hardware de Tom<\/p>\n

\n

\t\t\t\tComparte esta historia<\/p>\n

<\/svg> Facebook<\/p>\n

<\/svg> Gorjeo<\/p>\n<\/p><\/div>\n<\/p><\/div>\n


\n
Source link-29 <\/a><\/p>\n","protected":false},"excerpt":{"rendered":"

Seg\u00fan se informa, Intel ha terminado el desarrollo de sus procesos de fabricaci\u00f3n 18A y 20A planificados que ser\u00e1n utilizados internamente y por los clientes de Intel Foundry Services (IFS).…<\/p>\n","protected":false},"author":1,"featured_media":506652,"comment_status":"open","ping_status":"open","sticky":false,"template":"","format":"standard","meta":{"footnotes":""},"categories":[21980],"tags":[5981,2528,951,6242,8,3507,46825,107,594,3446],"_links":{"self":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/506651"}],"collection":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts"}],"about":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/types\/post"}],"author":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/users\/1"}],"replies":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/comments?post=506651"}],"version-history":[{"count":1,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/506651\/revisions"}],"predecessor-version":[{"id":506653,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/posts\/506651\/revisions\/506653"}],"wp:featuredmedia":[{"embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/media\/506652"}],"wp:attachment":[{"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/media?parent=506651"}],"wp:term":[{"taxonomy":"category","embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/categories?post=506651"},{"taxonomy":"post_tag","embeddable":true,"href":"https:\/\/magazineoffice.com\/wp-json\/wp\/v2\/tags?post=506651"}],"curies":[{"name":"wp","href":"https:\/\/api.w.org\/{rel}","templated":true}]}}