El CEO de Intel posiciona el nodo 18A por delante de los 2 nm de TSMC en términos de rendimiento y lanzamiento


El CEO de Intel ha expresado confianza en su proceso 18A, afirmando que compite favorablemente con el nodo de 2 nm de TSMC y que también se entrega antes.

El nodo de proceso 18A de Intel con entrega de energía trasera y utilización de silicio mejorada, supuestamente por delante de los 2 nm de TSMC en rendimiento y entrega

El director ejecutivo de Intel, Pat Gelsinger, se sentó con Barron’s en el reciente evento AI Everywhere de Team Blue, donde la compañía presentó sus últimos procesadores Meteor Lake. Hablando con el medio, el CEO Gelsinger proporcionó una actualización sobre el proceso 18A de Intel, afirmando que tiene el potencial de superar el nodo N2 (2 nm) de TSMC, especialmente después de la utilización de un método avanzado de entrega de energía.

Anunciamos dos innovaciones importantes con 18A: un nuevo transistor y alimentación trasera. Creo que todo el mundo está mirando el transistor del N2 de TSMC frente al nuestro 18A. No está claro que uno sea dramáticamente mejor que el otro. Veremos quién es mejor.

Pero la entrega de energía trasera, todo el mundo dice Intel, puntuación. Estás años por delante de la competencia. Eso es poderoso. Eso es significativo. Proporciona una mejor eficiencia de área para el silicio, lo que significa un menor costo. Proporciona una mejor entrega de potencia, lo que significa un mayor rendimiento. Entonces tengo un buen transistor. Tengo una gran entrega de potencia. Creo que estoy un poco por delante de N2, la próxima tecnología de proceso de TSMC en el tiempo.

Pat Gelsinger (CEO de Intel) Vía Barron’s

El nodo de proceso 18A de Intel utilizará transistores RibbonFET junto con un nuevo método de entrega «PowerVia», que se prevé que traerá importantes cifras de rendimiento a bordo. Se revela que podríamos ver una mejora del 10% de generación a generación con 18A sobre 20A. Hubo informes de que ARM podría ser el primer cliente del proceso de Intel, que desea utilizarlo para SOC móviles, pero actualmente esto es un mero rumor.

La última hoja de ruta de Intel muestra 18A listo para la producción de riesgo para el segundo semestre de 2024, lo que está antes de lo previsto.

Intel Foundry Services, particularmente con su tecnología de semiconductores, no ha tenido mucho éxito en términos de adopción por parte de la industria en los últimos tiempos; sin embargo, las cosas parecen cambiar, especialmente con el ritmo de los desarrollos que se están realizando en el campo del Team Blue. Recientemente informamos sobre el estado del proceso 20A de Intel y cómo está programado su debut para 2024. Intel 20A alimentará las CPU del cliente Arrow Lake, mientras que se dice que 18A ofrecerá una amplia gama de soluciones para futuros clientes, centros de datos y virutas de fundición.

Hoja de ruta de la tecnología de procesos Intel. (Fuente: PC.Watch)

Además, en una presentación mostrada a los medios japoneses, Intel enumera varios nodos más allá de 18A y también vemos el regreso del icónico «+» de la era de 14 nm. Se mencionan al menos tres nodos futuros después de 18A y «Intel Next+» menciona específicamente el uso de la litografía HiNa EUV. La producción en este nodo no debería esperarse hasta el período 2025-2026+.

Los próximos mercados de semiconductores serán mucho más dinámicos que antes, con empresas como Samsung Foundry e Intel aspirando al trono.

Hoja de ruta del proceso Intel

Nombre del proceso SuperFin Intel de 10 nm Intel 7 Intel 4 Intel 3 Intel 20A Intel 18A
Producción En gran volumen (ahora) En volumen (ahora) 2S 2022 1S 2023 1S 2024 2S 2024
Rendimiento/vatio (más de 10 nm ESF) N / A 10-15% 20% 18% >20%? por confirmar
UNIÓN EUROPEA V N / A N / A EUV alto-NA
Arquitectura de transistores FinFET FinFET optimizado FinFET optimizado FinFET optimizado CintaFET RibbonFET optimizado
Productos lago del tigre Lago de aliso
Lago Rapaz
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
Lago Meteoro
¿Xe-HPC/Xe-HP?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Flecha
¿Rápidos de diamante?
lago lunar
lago nuevo
¿Rápidos de diamante?
Socio de fundición

Fuente de noticias: Barrones

Comparte esta historia

Facebook

Gorjeo



Source link-29