Intel anuncia un nuevo nodo 14A en IFS Direct Connect 2024, y su agresiva hoja de ruta de ‘cinco nodos en cuatro años’ sigue en marcha


Intel celebró hoy su evento inaugural Intel Foundry Services Direct Connect. Básicamente, marca el lanzamiento de la nueva estrategia de fabricación de Intel, que reúne todas las soluciones de fabricación, diseño de sistemas, empaquetado y conectividad de sus clientes bajo un mismo paraguas.

Durante el evento, Intel describió su nueva hoja de ruta de procesos que incluyó el anuncio de su nodo 14A. Se estima que llegará al mercado en 2026 o 2027 y marca el primer nodo que utiliza la litografía High-NA. Se espera que incorpore entrega de energía trasera PowerVia y transistores RibbonFET GAA. Basta decir que esto es algo de última generación.



Source link-8