El proceso Intel 4 está listo para la producción y avanza hacia 18A en 2024 con los primeros chips de prueba ya producidos


Intel presentó su estrategia de fabricación de nodos de proceso hace algunos años con un esquema agresivo de alcanzar el proceso de 1nm para 2025. La compañía ha avanzado mucho en el último año y medio, con el proceso de 7nm disponible en los procesadores centrales de 12.ª y 13.ª generación. (Serie Alder Lake y Raptor Lake). La compañía ahora ha revelado que está lista para comenzar la producción y fabricación de la próxima tecnología de proceso, Intel 4, comenzando de inmediato.

Intel 4 en producción, Intel 3 entra en fabricación a finales de este año, 20A y 18A en 2024

El presidente del Instituto de Investigación de Intel China, Song Jiqiang, reveló en una reunión reciente que el proceso Intel 4 más nuevo está listo para la fabricación. Intel 4 es el sucesor de Intel 7 y se incorporará a la próxima generación, cuyo nombre en código es Meteor Lake. Se espera que los nuevos procesadores centrales de 14.ª generación que utilizan la última tecnología de proceso Intel 4 estén disponibles en la segunda mitad de este año.

Song continuó discutiendo que la tecnología de proceso Intel 3 y las siguientes tecnologías de proceso 20A/18A están avanzando según lo planeado por la compañía en sus intentos de brindar una competencia rápida a los líderes en semiconductores, como TSMC y Samsung.

«Los programas de 3nm van por buen camino, tanto con TSMC como con nuestros programas internos Intel 3 Granite Rapids y Sierra Forest en particular», dijo Gelsinger en la conferencia telefónica Intel Capital Allocation Update de la compañía. «Estoy algo asombrado por algunos de estos rumores que surgen. Es posible que haya notado que hubo algunos similares en Intel 4 hace unos meses, y también con algunos de nuestros otros programas TSMC, que también eran evidentemente falsos en ese momento. .»

CEO de Intel, Pat Gelsinger (a través de Tomshardware)

Se espera que Intel 20A, que es la tecnología de proceso de 2nm de la compañía, y 18A, la conferencia para el proceso de 1,8nm de la compañía, entren en la fase de fabricación el próximo año en 2024. El nodo de proceso 20A se presentará durante el primer trimestre de 2024, seguido por el proceso 18A en la segunda mitad. Este movimiento es crucial para que Intel alcance el lugar de semiconductores de la compañía para 2025.

La hoja de ruta más reciente de Intel muestra que 18A está listo para la producción de riesgo para la segunda mitad de 2024, lo cual está adelantado a lo previsto.

No se sabe en qué serie de chips 18A se incorporará, pero la compañía ha confirmado oficialmente que la tecnología de proceso 20A se utilizará para el nombre en clave «Arrow Lake». En la diapositiva divulgada, se muestra que 18A se incorpora en futuros chips de la serie «Lake» basados ​​en clientes, conjuntos de chips para centros de datos de la serie «Rapids» y chips de fundición para clientes de Intel. También se informa que Intel ya produjo los primeros chips de prueba en los nodos de proceso 20A y 18A, pero no se menciona si estos chips están diseñados internamente por Intel o para un cliente de terceros.

Los nodos de proceso 20A y 18A también presentarán nuevas tecnologías RibbonFET y PowerVia para sus chips. RibbonFET, conocido como «transistores de efecto de campo de cinta», sucede a la tecnología FinFET. Se considera un transistor gate-all-around (GAA) con electrostática mejorada en comparación con FinFET.

PowerVia es un proceso de entrega de energía que funciona en la parte trasera para resolver problemas de cuello de botella dentro de la interconexión que se ve en las arquitecturas de silicio. Es un problema común que PowerVia debería resolver una vez que esté disponible. En lugar de interconexiones que transmiten señales de comunicación de datos y energía a la parte superior de la capa del transistor, Power Via entregará directamente a la parte posterior de la oblea de silicio mientras transmite señales simultáneamente en la parte superior de la oblea.

Línea de CPU Intel Mobility:

Familia de CPU lago flecha Lago Meteoro Lago rapaz Lago de aliso
Nodo de proceso (mosaico de CPU) Intel 20A ‘5nm EUV» Intel 4 ‘7nm EUV’ Intel 7 ‘ESF de 10 nm’ Intel 7 ‘ESF de 10 nm’
Nodo de proceso (mosaico GPU) TSMC3nm TSMC 5nm Intel 7 ‘ESF de 10 nm’ Intel 7 ‘ESF de 10 nm’
Arquitectura de CPU Híbrido (cuatro núcleos) Híbrido (triple núcleo) Híbrido (doble núcleo) Híbrido (doble núcleo)
Arquitectura de núcleo P Cala del león cala secoya Cala rapaz cala dorada
Arquitectura de núcleo electrónico Skymont Crestmont Gracemont Gracemont
Configuración superior Por determinar 6+8 (serie H) 6+8 (serie H)
8+16 (serie HX)
6+8 (serie H)
8+8 (serie HX)
Máximo de núcleos/hilos Por determinar 14/20 14/20 14/20
Alineación planificada Serie H/P/U Serie H/P/U Serie H/P/U Serie H/P/U
Arquitectura GPU Mago de batalla Xe2 ‘Xe-LPG’
o
Xe3 Celestial «Xe-LPG»
Xe-GLP ‘Xe-MTL’ Iris Xe (Gen 12) Iris Xe (Gen 12)
Unidades de ejecución de GPU 192 UE (1024 núcleos)? 128 UE (1024 núcleos) 96 UE (768 núcleos) 96 UE (768 núcleos)
Soporte de memoria Por determinar DDR5-5600
LPDDR5-7400
LPDDR5X – 7400+
DDR5-5200
LPDDR5-5200
LPDDR5-6400
DDR5-4800
LPDDR5-5200
LPDDR5X-4267
Capacidad de memoria (máx.) Por determinar 96 GB 64GB 64GB
Puertos Thunderbolt 4 Por determinar 4 4 4
Capacidad Wi-Fi Por determinar Wi-Fi 6E Wi-Fi 6E Wi-Fi 6E
TDP Por determinar 15-45W 15-55W 15-55W
Lanzamiento 2H 2024? 2H 2023 1S 2023 1S 2022

Fuente de noticias: Kuai Technology

Comparte esta historia

Facebook

Gorjeo



Source link-29