Intel agrega el nodo de proceso 14A a su hoja de ruta, las actualizaciones de los nodos 18A e Intel 3 se presentan en IFS Direct


Intel ha presentado su nueva hoja de ruta para sus nodos de proceso de próxima generación en IFS Direct, que ahora incluye 14A y actualizaciones en nodos ya anunciados.

La hoja de ruta del proceso Intel 2027 presenta los nodos 14A, 14A-E, 18A-P, 3E y 3-PT para semiconductores de próxima generación

Presione soltar: Intel Corp. (INTC) lanzó hoy Intel Foundry como una empresa de fundición de sistemas más sostenible diseñada para la era de la IA y anunció una hoja de ruta de proceso ampliada diseñada para establecer el liderazgo en la última parte de esta década.

La compañía también destacó el impulso de los clientes y el apoyo de los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, quienes describieron su disposición para acelerar los diseños de chips de los clientes de Intel Foundry con herramientas, flujos de diseño y carteras de IP validadas para el paquete avanzado de Intel, e Intel. Tecnologías de proceso 18A.

Los anuncios se realizaron en el primer evento Foundry de Intel, Foundry Direct Connect, donde la compañía reunió a clientes, empresas del ecosistema y líderes de toda la industria. Entre los participantes y oradores se encontraban la secretaria de Comercio de EE. UU., Gina Raimondo, el director ejecutivo de Arm, Rene Haas, el director ejecutivo de Microsoft, Satya Nadella, el director ejecutivo de OpenAI, Sam Altman, y otros.

Los aspectos más destacados de estos anuncios se enumeran a continuación:

  • Intel Foundry se lanza como la primera fundición de sistemas del mundo para la era de la IA, ofreciendo liderazgo en tecnología, resiliencia y sostenibilidad.
  • Intel Foundry presenta una nueva hoja de ruta que incluye tecnología de proceso 14A, evoluciones de nodos especializados y nuevas capacidades de prueba y ensamblaje de sistemas avanzados (ASAT) de Foundry para ayudar a los clientes a hacer realidad sus ambiciones de IA.
  • Intel Foundry anuncia victoria en el diseño: el director ejecutivo de Microsoft, Satya Nadella, comparte que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.
  • Los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, anuncian herramientas validadas, flujos de diseño y carteras de propiedad intelectual (IP) listas para habilitar los diseños de los clientes.

[Editor’s Note] Con su última hoja de ruta de nodos de proceso, Intel está presentando nuevas incorporaciones a su hoja de ruta de procesos que ahora incluyen subvariantes de cada nodo con los sufijos «E», «P» y «T». Todos estos sufijos representan una cierta extensión del conjunto de funciones, el rendimiento o la tecnología de empaquetado. La «P» para modelos como 18A-P y 3-PT representa un mayor rendimiento con hasta un 10% de ganancias sobre su variante estándar, mientras que la «T» representa el uso de TSV o Through-Silicon Vias que formarán parte del 3D. Tecnología Foveros Direct. Las subvariantes «E» representan una extensión del nodo clásico que se dirigirá a clientes específicos.

Además, la compañía también reveló que ya ha grabado sus CPU Clearwater Forest Xeon E-Core de próxima generación, mientras que 18A está listo para el diseño completo del producto en el segundo trimestre de 2024. Chipzilla también confirmó algunos datos sobre Clearwater Forest que hemos estado escuchando. durante las últimas semanas. Utilizará varias tecnologías como:

  • PowerVía
  • CintaFET
  • Troquel básico Intel 3
  • EMIB
  • Apilamiento 3D directo de Foveros

La hoja de ruta del proceso se expande más allá del 5N4Y

La hoja de ruta de tecnología de procesos extendida de Intel agrega 14A al plan de nodos de vanguardia de la compañía, además de varias evoluciones de nodos especializados. Intel también afirmó que su ambiciosa hoja de ruta de proceso de cinco nodos en cuatro años (5N4Y) sigue en marcha y ofrecerá la primera solución de energía trasera de la industria. Los líderes de la empresa esperan que Intel recupere el liderazgo en procesos con Intel 18A en 2025.

Una foto muestra una herramienta DMX de recogida y colocación para el apilamiento de la tecnología de embalaje Foveros en una fábrica de Intel en Oregón en diciembre de 2023.

La nueva hoja de ruta incluye evoluciones para tecnologías de procesos 3, 18A y 14A. Incluye 3-T, que está optimizado con vías de silicio para diseños de envases avanzados en 3D y pronto estará listo para su fabricación.

También se destacan los nodos de proceso maduros, incluidos los nuevos nodos de 12 nanómetros que se esperan mediante el desarrollo conjunto con UMC anunciado el mes pasado. Estas evoluciones están diseñadas para permitir a los clientes desarrollar y entregar productos adaptados a sus necesidades específicas. Intel Foundry planifica un nuevo nodo cada dos años y evoluciones de nodo a lo largo del camino, brindando a los clientes un camino para evolucionar continuamente sus ofertas en la tecnología de procesos líder de Intel.

Intel también anunció la incorporación de Foundry FCBGA 2D+ a su conjunto integral de ofertas ASAT, que ya incluyen FCBGA 2D, EMIB, Foveros y Foveros Direct.

Microsoft Design en 18A encabeza el impulso de los clientes

Los clientes respaldan el enfoque de fundición de sistemas a largo plazo de Intel. Durante el discurso de apertura de Pat Gelsinger, el presidente y director ejecutivo de Microsoft, Satya Nadella, afirmó que Microsoft ha elegido un diseño de chip que planea producir en el proceso 18A.

«Estamos en medio de un cambio de plataforma muy interesante que transformará fundamentalmente la productividad de cada organización individual y de toda la industria», dijo Nadella. “Para lograr esta visión, necesitamos un suministro confiable de los semiconductores más avanzados, de alto rendimiento y de alta calidad. Es por eso que estamos tan emocionados de trabajar con Intel Foundry y por eso hemos elegido un diseño de chip que planeamos producir en el proceso Intel 18A”.

Intel Foundry tiene logros en diseño en todas las generaciones de procesos de fundición, incluidas 18A, 16 y 3, junto con un importante volumen de clientes en capacidades ASAT de Foundry, incluido el empaquetado avanzado.

Un empleado de fabricación muestra un sistema de prueba en chip construido sobre un sustrato de vidrio en una fábrica de Intel en Chandler, Arizona.

En total, en obleas y embalajes avanzados, el valor esperado del acuerdo de por vida de Intel Foundry es superior a 15 mil millones de dólares.

Hoja de ruta del proceso Intel

Nombre del proceso Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 SuperFin Intel de 10 nm
Producción 2027 2026 2S 2024 1S 2024 1S 2023 2S 2022 En volumen (ahora) En gran volumen (ahora)
Rendimiento/vatio (más de 10 nm ESF) por confirmar por confirmar por confirmar >20%? 18% 20% 10-15% N / A
UNIÓN EUROPEA V Por determinar EUV alto-NA N / A N / A
Arquitectura de transistores Por determinar Por determinar RibbonFET optimizado CintaFET FinFET optimizado FinFET optimizado FinFET optimizado FinFET
Productos Por determinar Por determinar lago lunar
lago nuevo
¿Rápidos de diamante?
Socio de fundición
Lago Flecha
¿Rápidos de diamante?
Rápidos de granito
Bosque Sierra
Socio de fundición
Lago Meteoro
¿Xe-HPC/Xe-HP?
Lago de aliso
Lago Raptor
Rápidos de zafiro
Rápidos Esmeralda
¿Xe-HPG?
lago del tigre

Comparte esta historia

Facebook

Gorjeo



Source link-29