Intel allana el camino hacia un billón de transistores en chips de última generación para 2030


En IEDM, Intel Research ha mostrado cómo la Ley de Moore está viva y cómo Chipzilla planea ofrecer chips de próxima generación con un billón de transistores para 2030.

La investigación de Intel impulsa la ley de Moore y allana el camino hacia un billón de transistores para 2030

Presione soltar: En IEDM 2022, en el 75.º aniversario del transistor, Intel apunta a una nueva mejora de densidad de 10 veces en la tecnología de empaquetado y utiliza material novedoso de solo 3 átomos de espesor para avanzar en la escala del transistor.

Qué hay de nuevo: Hoy, Intel dio a conocer los avances de la investigación que alimentan su línea de innovación para mantener la Ley de Moore encaminada a un billón de transistores en un paquete en la próxima década. En IEEE International Electron Devices Meeting (IEDM) 2022, los investigadores de Intel mostraron avances en la tecnología de empaquetado 3D con una nueva mejora de 10 veces en la densidad; materiales novedosos para el escalado de transistores 2D más allá de RibbonFET, incluido material súper delgado de solo 3 átomos de espesor; nuevas posibilidades en eficiencia energética y memoria para computación de mayor rendimiento; y avances para la computación cuántica.

“Setenta y cinco años después de la invención del transistor, la innovación que impulsa la Ley de Moore continúa abordando la creciente demanda mundial de computación. En IEDM 2022, Intel está mostrando los avances de investigación concretos y con visión de futuro necesarios para romper las barreras actuales y futuras, cumplir con esta demanda insaciable y mantener viva la Ley de Moore en los años venideros”.

— Gary Patton, vicepresidente de Intel y gerente general de investigación de componentes y habilitación de diseño

Qué está pasando en IEDM: Para conmemorar el 75.° aniversario del transistor, la Dra. Ann Kelleher, vicepresidenta ejecutiva y gerente general de Desarrollo de Tecnología de Intel, dirigirá una sesión plenaria en IEDM. Kelleher delineará los caminos a seguir para la innovación continua de la industria, uniendo el ecosistema en torno a una estrategia basada en sistemas para abordar la creciente demanda mundial de computación e innovar de manera más efectiva para avanzar al ritmo de la Ley de Moore. La sesión, “¡Celebrando los 75 años del transistor! Una mirada a la evolución de la innovación de la Ley de Moore», tendrá lugar a las 9:45 a. m. PST del lunes 5 de diciembre.

Por qué es importante: La Ley de Moore es vital para abordar las necesidades informáticas insaciables del mundo, ya que el aumento del consumo de datos y el impulso hacia una mayor inteligencia artificial (IA) provocan la mayor aceleración de la demanda de la historia.

La innovación continua es la piedra angular de la Ley de Moore. Muchos de los hitos de innovación clave para las mejoras continuas de energía, rendimiento y costos en las últimas dos décadas, incluido el silicio filtrado, la compuerta metálica Hi-K y FinFET, en computadoras personales, procesadores gráficos y centros de datos comenzaron con el Grupo de investigación de componentes de Intel. . Más investigaciones, incluidos los transistores RibbonFET gate-all-around (GAA), la tecnología de entrega de energía en la parte posterior PowerVia y los avances en empaques como EMIB y Foveros Direct, están en la hoja de ruta hoy.

En IEDM 2022, el Grupo de Investigación de Componentes de Intel mostró su compromiso con la innovación en tres áreas clave para continuar con la Ley de Moore: nueva tecnología de empaquetamiento de enlace híbrido 3D para permitir la integración perfecta de chiplets; materiales 2D súper delgados para colocar más transistores en un solo chip; y nuevas posibilidades en eficiencia energética y memoria para computación de mayor rendimiento.

Cómo lo hacemos: Los investigadores del Grupo de Investigación de Componentes han identificado nuevos materiales y procesos que desdibujan la línea entre el empaque y el silicio. Revelamos los próximos pasos críticos en el viaje para extender la Ley de Moore a un billón de transistores en un paquete, incluido un paquete avanzado que puede lograr una densidad de interconexión 10x adicional, lo que lleva a chips casi monolíticos. Las innovaciones de materiales de Intel también han identificado opciones de diseño prácticas que pueden cumplir con los requisitos de escalado de transistores utilizando material novedoso de solo 3 átomos de espesor, lo que permite a la empresa continuar escalando más allá de RibbonFET.

Intel presenta chips casi monolíticos para empaques 3D de próxima generación:

  • La última investigación de enlace híbrido de Intel presentada en IEDM 2022 muestra una mejora adicional de 10 veces en densidad para potencia y rendimiento con respecto a la presentación de investigación de Intel IEDM 2021.
  • El escalado continuo de enlaces híbridos a un paso de 3 um logra densidades de interconexión y anchos de banda similares a los que se encuentran en las conexiones monolíticas de sistema en chip.

Intel busca materiales ‘2D’ súper delgados para colocar más transistores en un solo chip:

  • Intel demostró una estructura de nanoláminas apiladas en todos los sentidos utilizando material de canal 2D de solo 3 átomos de espesor mientras lograba una conmutación casi ideal de transistores en una estructura de doble puerta a temperatura ambiente con baja corriente de fuga. Estos son dos avances clave necesarios para apilar transistores GAA y avanzar más allá de los límites fundamentales del silicio.
  • Los investigadores también revelaron el primer análisis integral de topologías de contactos eléctricos para materiales 2D que podrían allanar aún más el camino para canales de transistores escalables y de alto rendimiento.

Intel ofrece nuevas posibilidades en eficiencia energética y memoria para una informática de mayor rendimiento:

  • Para usar el área del chip de manera más efectiva, Intel redefine la escala mediante el desarrollo de memoria que se puede colocar verticalmente sobre los transistores. Por primera vez en la industria, Intel demuestra condensadores ferroeléctricos apilados que igualan el rendimiento de los condensadores de trinchera ferroeléctricos convencionales y se pueden utilizar para construir FeRAM en un dado lógico.
  • Un modelo de nivel de dispositivo pionero en la industria captura fases mixtas y defectos para dispositivos hafnia ferroeléctricos mejorados, lo que marca un progreso significativo para Intel en el apoyo a las herramientas de la industria para desarrollar nuevas memorias y transistores ferroeléctricos.
  • Llevando al mundo un paso más cerca de la transición más allá de 5G y resolviendo los desafíos de la eficiencia energética, Intel está construyendo un camino viable hacia las obleas de GaN en silicio de 300 milímetros. Los avances de Intel en esta área demuestran una ganancia 20 veces mayor que el GaN estándar de la industria y establece una figura de mérito récord de la industria para la entrega de energía de alto rendimiento.
  • Intel está logrando avances en tecnologías súper eficientes desde el punto de vista energético, específicamente transistores que no se olvidan, para retener datos incluso cuando no hay energía. Los investigadores de Intel ya han roto dos de las tres barreras que impiden que la tecnología sea completamente viable y operativa a temperatura ambiente.

Intel continúa introduciendo nuevos conceptos en física con avances en la entrega de mejores qubits para computación cuántica:

  • Los investigadores de Intel trabajan para encontrar mejores formas de almacenar información cuántica reuniendo una mejor comprensión de varios defectos de la interfaz que podrían actuar como perturbaciones ambientales que afectan los datos cuánticos.

Comparte esta historia

Facebook

Gorjeo



Source link-29