Mejor vencimiento, costo y tiempo de entrega


TSMC no cree que Intel Foundry los supere en los próximos años ya que la compañía reveló que su proceso de 3 nm está a la par del nodo 18A de Intel.

La implementación de 3 nm de TSMC podría proporcionar un rendimiento similar al 18A de Intel

Ahora quizás se pregunte cómo es esto posible, ya que la mayoría de nosotros sabemos que la «reducción de procesos» viene con sus respectivas ganancias de rendimiento y, en este caso, el 18A de Intel utiliza un nodo más pequeño en comparación con los 3 nm de TSMC. Bueno, hablaremos de esto más adelante, pero por ahora, echemos un vistazo a lo que CC Wei, director ejecutivo de TSMC, dijo en la conferencia telefónica sobre las ganancias de la compañía en el tercer trimestre de 2023 en Intel vs TSMC:

En realidad, no subestimamos a ninguno de nuestros competidores ni los tomamos a la ligera. Dicho esto, nuestra evaluación interna muestra que nuestra tecnología N3P (ahora, lo repetiré nuevamente, la tecnología N3P) demostró un PPA comparable al 18A, la tecnología de mis competidores, pero con un tiempo de comercialización más temprano, una mayor madurez tecnológica y una tecnología mucho mejor. costo.

De hecho, permítanme repetirlo nuevamente, nuestra tecnología de 2 nanómetros sin alimentación trasera es más avanzada que N3P y 18A, y aunque la industria de semiconductores será la tecnología más avanzada cuando se introduzca en 2025.

-vía The Motley Fool

La declaración del funcionario sugiere que al gigante taiwanés no le molestan de ninguna manera los avances realizados por Intel Foundry. Además, TSMC tiene total confianza en su proceso de 3 nm (N3P), a pesar de que utilizará métodos de entrega de energía y tecnologías de transistores más tradicionales. Ahora la gran pregunta es: ¿cómo puede TSMC hacer tal declaración ya que Intel utiliza métodos superiores con su próximo proceso Intel 18A? En resumen, creo que todo se reduce a la «madurez» de ambas fundiciones con sus respectivos procesos de fabricación.

Repasemos algunos de los avances previstos con el proceso 18A de Intel. Utilizará transistores RibbonFET junto con un nuevo método de entrega «PowerVia», que se prevé que aportará importantes mejoras en la eficiencia energética. Se revela que podríamos ver una mejora del 10% de generación a generación con 18A, que es un número alto considerado en la industria de fabricación. La utilización del proceso Intel 18A en el desarrollo de chips podría efectivamente reforzar las cifras de rendimiento, colocando a clientes potenciales como ARM en una posición competitiva.

La declaración de TSMC muestra que la empresa se encuentra en una posición cómoda, sin estar demasiado preocupada por la competencia de la industria. Esto se debe únicamente al hecho de que TSMC ha ocupado el trono en la industria de los semiconductores durante mucho tiempo y ha logrado obtener pedidos de las empresas tecnológicas más grandes del mundo de manera constante. Intel, por otro lado, es relativamente más nueva en la industria y espera desafiar la participación de mercado de TSMC a través de procesos como Intel 18A y 20A, que debutarán mucho antes de lo que TSMC planea con procesos similares.

Sin embargo, no deberíamos sacar una conclusión precipitada todavía, ya que las cifras de rendimiento se harán evidentes una vez que los procesos se implementen realmente en los productos principales de la industria.

Comparte esta historia

Facebook

Gorjeo



Source link-29